##// END OF EJS Templates
Commit Message Age Author Refs
load previous
r108:ec95871b78ac
FFT sur 5 Voies Okai (cf driver C BenchFFT)
martin
0
r107:043850cd1ec6
FFT + 1 FIFO Okai Plus de probléme de chargement
martin
0
r106:4dc8bc245631
FFT + 1 FIFO OKAI Fonctionnement "lent" en 2 temps 1 - Remplir la fifo jusqu'a Full 2 - Lancer la FFT via Gpio (cf top leon3mp)
martin
0
r105:e1593527ac07
Fusion avec martin
pellion
merge JC
0
r104:c317295ecd0d
Fusion avec JC
martin
merge martin
0
r103:e52d1f932b5e
Débug de la FIFO /!\ syncram_2p, signaux d'écritue/lecture actif a l'état haut Différent de RAM_CEL actif a l'état bas .
martin
0
r102:ecadbe9f5050
LPP DMA v1.0.1 - Correction of bugs due to "AHB bursts and 1kB address boundary" - Add TB for DMA with a RTL model of the external RAM CYC1360C in designs/Projet-LeonLFR-AP3K-Sheldon_sim-all
pellion@stage-ps1.lab-lpp.local
JC
0
r101:80568b98428c
LPP DMA v1.0.0
pellion@stage-ps1.lab-lpp.local
0
r100:fc97c34d69e3
Mise a jour Projets blanc
martin
0
r99:fb73d940a921
Update and debug UART
martin
0
r98:0de5e600d49b
update ADC
martin
0
r97:5dd8398817e7
Data Line second version
martin
0
r96:2dfde37709a5
Data line (FFT + Matrix) first version
martin
0
r95:236e70a254cc
Update Matrix Spectral function with 2 fifo inputs (APB and C-driver)
martin
0
r94:87d4a9c4bb62
5 FIFO Matrix added (VHDL,C)
martin
0
r93:2e3bf966e0ac
FIFO updated (vhdl,C driver) and Matrix tested, Bench validated
martin
0
r92:74ac16764d41
IIR Filter Ready for tests, New version of APB_FIFO under developpement.
jeandet@PC-DE-JEANDET.lpp.polytechnique.fr
0
r91:0d940e249f25
modif APB_fifo
martin
0
r90:a9b0b725b939
save
martin
0
r89:ca0a305588e9
Removed reference to ssram_plugin2.
jeandet@PC-DE-JEANDET.lpp.polytechnique.fr
0
load next
< 1 .. 28 29 30 31 32 .. 35 >
showing 20 out of 689 commits