##// END OF EJS Templates
/!\ Unstable LFR-142200-DM-LEON3-BASE design /!\
jeandet@PC-DE-JEANDET.lpp.polytechnique.fr -
r85:439b6d5bebcc alexis
parent child
Show More
@@ -0,0 +1,44
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19
20 include ../../rules.mk
21 LIBDIR=
22 INCPATH = ../../includes
23 SCRIPTDIR=../../scripts/
24 LIBS=
25 INPUTFILE=main.c
26 EXEC=hello.bin
27 OUTBINDIR=bin/
28
29
30 .PHONY:bin
31
32 all:bin
33 @echo $(EXEC)" file created"
34
35 clean:
36 rm -f *.{o,a}
37
38
39
40 help:ruleshelp
41 @echo " all : makes an executable file called "$(EXEC)
42 @echo " in "$(OUTBINDIR)
43 @echo " clean : removes temporary files"
44
@@ -0,0 +1,26
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #include "stdio.h"
20
21
22 int main()
23 {
24 printf("hello World\n");
25 return 0;
26 }
@@ -1,1661 +1,1661
1 # Doxyfile 1.7.1
1 # Doxyfile 1.7.1
2
2
3 # This file describes the settings to be used by the documentation system
3 # This file describes the settings to be used by the documentation system
4 # doxygen (www.doxygen.org) for a project
4 # doxygen (www.doxygen.org) for a project
5 #
5 #
6 # All text after a hash (#) is considered a comment and will be ignored
6 # All text after a hash (#) is considered a comment and will be ignored
7 # The format is:
7 # The format is:
8 # TAG = value [value, ...]
8 # TAG = value [value, ...]
9 # For lists items can also be appended using:
9 # For lists items can also be appended using:
10 # TAG += value [value, ...]
10 # TAG += value [value, ...]
11 # Values that contain spaces should be placed between quotes (" ")
11 # Values that contain spaces should be placed between quotes (" ")
12
12
13 #---------------------------------------------------------------------------
13 #---------------------------------------------------------------------------
14 # Project related configuration options
14 # Project related configuration options
15 #---------------------------------------------------------------------------
15 #---------------------------------------------------------------------------
16
16
17 # This tag specifies the encoding used for all characters in the config file
17 # This tag specifies the encoding used for all characters in the config file
18 # that follow. The default is UTF-8 which is also the encoding used for all
18 # that follow. The default is UTF-8 which is also the encoding used for all
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 # iconv built into libc) for the transcoding. See
20 # iconv built into libc) for the transcoding. See
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22
22
23 DOXYFILE_ENCODING = UTF-8
23 DOXYFILE_ENCODING = UTF-8
24
24
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 # by quotes) that should identify the project.
26 # by quotes) that should identify the project.
27
27
28 PROJECT_NAME = "VHDL lib Drivers"
28 PROJECT_NAME = "apb lcd driver"
29
29
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 # This could be handy for archiving the generated documentation or
31 # This could be handy for archiving the generated documentation or
32 # if some version control system is used.
32 # if some version control system is used.
33
33
34 PROJECT_NUMBER = 0.4
34 PROJECT_NUMBER = 0.1
35
35
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 # base path where the generated documentation will be put.
37 # base path where the generated documentation will be put.
38 # If a relative path is entered, it will be relative to the location
38 # If a relative path is entered, it will be relative to the location
39 # where doxygen was started. If left blank the current directory will be used.
39 # where doxygen was started. If left blank the current directory will be used.
40
40
41 OUTPUT_DIRECTORY = ./Doc
41 OUTPUT_DIRECTORY = ./Doc
42
42
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 # format and will distribute the generated files over these directories.
45 # format and will distribute the generated files over these directories.
46 # Enabling this option can be useful when feeding doxygen a huge amount of
46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 # source files, where putting all generated files in the same directory would
47 # source files, where putting all generated files in the same directory would
48 # otherwise cause performance problems for the file system.
48 # otherwise cause performance problems for the file system.
49
49
50 CREATE_SUBDIRS = NO
50 CREATE_SUBDIRS = NO
51
51
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 # documentation generated by doxygen is written. Doxygen will use this
53 # documentation generated by doxygen is written. Doxygen will use this
54 # information to generate all constant output in the proper language.
54 # information to generate all constant output in the proper language.
55 # The default language is English, other supported languages are:
55 # The default language is English, other supported languages are:
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62
62
63 OUTPUT_LANGUAGE = English
63 OUTPUT_LANGUAGE = English
64
64
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 # include brief member descriptions after the members that are listed in
66 # include brief member descriptions after the members that are listed in
67 # the file and class documentation (similar to JavaDoc).
67 # the file and class documentation (similar to JavaDoc).
68 # Set to NO to disable this.
68 # Set to NO to disable this.
69
69
70 BRIEF_MEMBER_DESC = YES
70 BRIEF_MEMBER_DESC = YES
71
71
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 # the brief description of a member or function before the detailed description.
73 # the brief description of a member or function before the detailed description.
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 # brief descriptions will be completely suppressed.
75 # brief descriptions will be completely suppressed.
76
76
77 REPEAT_BRIEF = YES
77 REPEAT_BRIEF = YES
78
78
79 # This tag implements a quasi-intelligent brief description abbreviator
79 # This tag implements a quasi-intelligent brief description abbreviator
80 # that is used to form the text in various listings. Each string
80 # that is used to form the text in various listings. Each string
81 # in this list, if found as the leading text of the brief description, will be
81 # in this list, if found as the leading text of the brief description, will be
82 # stripped from the text and the result after processing the whole list, is
82 # stripped from the text and the result after processing the whole list, is
83 # used as the annotated text. Otherwise, the brief description is used as-is.
83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 # If left blank, the following values are used ("$name" is automatically
84 # If left blank, the following values are used ("$name" is automatically
85 # replaced with the name of the entity): "The $name class" "The $name widget"
85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 # "The $name file" "is" "provides" "specifies" "contains"
86 # "The $name file" "is" "provides" "specifies" "contains"
87 # "represents" "a" "an" "the"
87 # "represents" "a" "an" "the"
88
88
89 ABBREVIATE_BRIEF = "The $name class" \
89 ABBREVIATE_BRIEF = "The $name class" \
90 "The $name widget" \
90 "The $name widget" \
91 "The $name file" \
91 "The $name file" \
92 is \
92 is \
93 provides \
93 provides \
94 specifies \
94 specifies \
95 contains \
95 contains \
96 represents \
96 represents \
97 a \
97 a \
98 an \
98 an \
99 the
99 the
100
100
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 # Doxygen will generate a detailed section even if there is only a brief
102 # Doxygen will generate a detailed section even if there is only a brief
103 # description.
103 # description.
104
104
105 ALWAYS_DETAILED_SEC = NO
105 ALWAYS_DETAILED_SEC = NO
106
106
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 # inherited members of a class in the documentation of that class as if those
108 # inherited members of a class in the documentation of that class as if those
109 # members were ordinary class members. Constructors, destructors and assignment
109 # members were ordinary class members. Constructors, destructors and assignment
110 # operators of the base classes will not be shown.
110 # operators of the base classes will not be shown.
111
111
112 INLINE_INHERITED_MEMB = NO
112 INLINE_INHERITED_MEMB = NO
113
113
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 # path before files name in the file list and in the header files. If set
115 # path before files name in the file list and in the header files. If set
116 # to NO the shortest path that makes the file name unique will be used.
116 # to NO the shortest path that makes the file name unique will be used.
117
117
118 FULL_PATH_NAMES = YES
118 FULL_PATH_NAMES = YES
119
119
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 # can be used to strip a user-defined part of the path. Stripping is
121 # can be used to strip a user-defined part of the path. Stripping is
122 # only done if one of the specified strings matches the left-hand part of
122 # only done if one of the specified strings matches the left-hand part of
123 # the path. The tag can be used to show relative paths in the file list.
123 # the path. The tag can be used to show relative paths in the file list.
124 # If left blank the directory from which doxygen is run is used as the
124 # If left blank the directory from which doxygen is run is used as the
125 # path to strip.
125 # path to strip.
126
126
127 STRIP_FROM_PATH =
127 STRIP_FROM_PATH =
128
128
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 # the path mentioned in the documentation of a class, which tells
130 # the path mentioned in the documentation of a class, which tells
131 # the reader which header file to include in order to use a class.
131 # the reader which header file to include in order to use a class.
132 # If left blank only the name of the header file containing the class
132 # If left blank only the name of the header file containing the class
133 # definition is used. Otherwise one should specify the include paths that
133 # definition is used. Otherwise one should specify the include paths that
134 # are normally passed to the compiler using the -I flag.
134 # are normally passed to the compiler using the -I flag.
135
135
136 STRIP_FROM_INC_PATH =
136 STRIP_FROM_INC_PATH =
137
137
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 # (but less readable) file names. This can be useful is your file systems
139 # (but less readable) file names. This can be useful is your file systems
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141
141
142 SHORT_NAMES = YES
142 SHORT_NAMES = YES
143
143
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 # will interpret the first line (until the first dot) of a JavaDoc-style
145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 # comment as the brief description. If set to NO, the JavaDoc
146 # comment as the brief description. If set to NO, the JavaDoc
147 # comments will behave just like regular Qt-style comments
147 # comments will behave just like regular Qt-style comments
148 # (thus requiring an explicit @brief command for a brief description.)
148 # (thus requiring an explicit @brief command for a brief description.)
149
149
150 JAVADOC_AUTOBRIEF = NO
150 JAVADOC_AUTOBRIEF = NO
151
151
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 # interpret the first line (until the first dot) of a Qt-style
153 # interpret the first line (until the first dot) of a Qt-style
154 # comment as the brief description. If set to NO, the comments
154 # comment as the brief description. If set to NO, the comments
155 # will behave just like regular Qt-style comments (thus requiring
155 # will behave just like regular Qt-style comments (thus requiring
156 # an explicit \brief command for a brief description.)
156 # an explicit \brief command for a brief description.)
157
157
158 QT_AUTOBRIEF = NO
158 QT_AUTOBRIEF = NO
159
159
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 # comments) as a brief description. This used to be the default behaviour.
162 # comments) as a brief description. This used to be the default behaviour.
163 # The new default is to treat a multi-line C++ comment block as a detailed
163 # The new default is to treat a multi-line C++ comment block as a detailed
164 # description. Set this tag to YES if you prefer the old behaviour instead.
164 # description. Set this tag to YES if you prefer the old behaviour instead.
165
165
166 MULTILINE_CPP_IS_BRIEF = NO
166 MULTILINE_CPP_IS_BRIEF = NO
167
167
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 # member inherits the documentation from any documented member that it
169 # member inherits the documentation from any documented member that it
170 # re-implements.
170 # re-implements.
171
171
172 INHERIT_DOCS = YES
172 INHERIT_DOCS = YES
173
173
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 # a new page for each member. If set to NO, the documentation of a member will
175 # a new page for each member. If set to NO, the documentation of a member will
176 # be part of the file/class/namespace that contains it.
176 # be part of the file/class/namespace that contains it.
177
177
178 SEPARATE_MEMBER_PAGES = NO
178 SEPARATE_MEMBER_PAGES = NO
179
179
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182
182
183 TAB_SIZE = 8
183 TAB_SIZE = 8
184
184
185 # This tag can be used to specify a number of aliases that acts
185 # This tag can be used to specify a number of aliases that acts
186 # as commands in the documentation. An alias has the form "name=value".
186 # as commands in the documentation. An alias has the form "name=value".
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 # will result in a user-defined paragraph with heading "Side Effects:".
189 # will result in a user-defined paragraph with heading "Side Effects:".
190 # You can put \n's in the value part of an alias to insert newlines.
190 # You can put \n's in the value part of an alias to insert newlines.
191
191
192 ALIASES =
192 ALIASES =
193
193
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 # sources only. Doxygen will then generate output that is more tailored for C.
195 # sources only. Doxygen will then generate output that is more tailored for C.
196 # For instance, some of the names that are used will be different. The list
196 # For instance, some of the names that are used will be different. The list
197 # of all members will be omitted, etc.
197 # of all members will be omitted, etc.
198
198
199 OPTIMIZE_OUTPUT_FOR_C = YES
199 OPTIMIZE_OUTPUT_FOR_C = YES
200
200
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 # sources only. Doxygen will then generate output that is more tailored for
202 # sources only. Doxygen will then generate output that is more tailored for
203 # Java. For instance, namespaces will be presented as packages, qualified
203 # Java. For instance, namespaces will be presented as packages, qualified
204 # scopes will look different, etc.
204 # scopes will look different, etc.
205
205
206 OPTIMIZE_OUTPUT_JAVA = NO
206 OPTIMIZE_OUTPUT_JAVA = NO
207
207
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 # sources only. Doxygen will then generate output that is more tailored for
209 # sources only. Doxygen will then generate output that is more tailored for
210 # Fortran.
210 # Fortran.
211
211
212 OPTIMIZE_FOR_FORTRAN = NO
212 OPTIMIZE_FOR_FORTRAN = NO
213
213
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 # sources. Doxygen will then generate output that is tailored for
215 # sources. Doxygen will then generate output that is tailored for
216 # VHDL.
216 # VHDL.
217
217
218 OPTIMIZE_OUTPUT_VHDL = NO
218 OPTIMIZE_OUTPUT_VHDL = NO
219
219
220 # Doxygen selects the parser to use depending on the extension of the files it
220 # Doxygen selects the parser to use depending on the extension of the files it
221 # parses. With this tag you can assign which parser to use for a given extension.
221 # parses. With this tag you can assign which parser to use for a given extension.
222 # Doxygen has a built-in mapping, but you can override or extend it using this
222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 # tag. The format is ext=language, where ext is a file extension, and language
223 # tag. The format is ext=language, where ext is a file extension, and language
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229
229
230 EXTENSION_MAPPING =
230 EXTENSION_MAPPING =
231
231
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 # to include (a tag file for) the STL sources as input, then you should
233 # to include (a tag file for) the STL sources as input, then you should
234 # set this tag to YES in order to let doxygen match functions declarations and
234 # set this tag to YES in order to let doxygen match functions declarations and
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 # func(std::string) {}). This also make the inheritance and collaboration
236 # func(std::string) {}). This also make the inheritance and collaboration
237 # diagrams that involve STL classes more complete and accurate.
237 # diagrams that involve STL classes more complete and accurate.
238
238
239 BUILTIN_STL_SUPPORT = NO
239 BUILTIN_STL_SUPPORT = NO
240
240
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 # enable parsing support.
242 # enable parsing support.
243
243
244 CPP_CLI_SUPPORT = NO
244 CPP_CLI_SUPPORT = NO
245
245
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 # Doxygen will parse them like normal C++ but will assume all classes use public
247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 # instead of private inheritance when no explicit protection keyword is present.
248 # instead of private inheritance when no explicit protection keyword is present.
249
249
250 SIP_SUPPORT = NO
250 SIP_SUPPORT = NO
251
251
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 # and setter methods for a property. Setting this option to YES (the default)
253 # and setter methods for a property. Setting this option to YES (the default)
254 # will make doxygen to replace the get and set methods by a property in the
254 # will make doxygen to replace the get and set methods by a property in the
255 # documentation. This will only work if the methods are indeed getting or
255 # documentation. This will only work if the methods are indeed getting or
256 # setting a simple type. If this is not the case, or you want to show the
256 # setting a simple type. If this is not the case, or you want to show the
257 # methods anyway, you should set this option to NO.
257 # methods anyway, you should set this option to NO.
258
258
259 IDL_PROPERTY_SUPPORT = YES
259 IDL_PROPERTY_SUPPORT = YES
260
260
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 # tag is set to YES, then doxygen will reuse the documentation of the first
262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 # member in the group (if any) for the other members of the group. By default
263 # member in the group (if any) for the other members of the group. By default
264 # all members of a group must be documented explicitly.
264 # all members of a group must be documented explicitly.
265
265
266 DISTRIBUTE_GROUP_DOC = NO
266 DISTRIBUTE_GROUP_DOC = NO
267
267
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 # the same type (for instance a group of public functions) to be put as a
269 # the same type (for instance a group of public functions) to be put as a
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 # the \nosubgrouping command.
272 # the \nosubgrouping command.
273
273
274 SUBGROUPING = YES
274 SUBGROUPING = YES
275
275
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 # is documented as struct, union, or enum with the name of the typedef. So
277 # is documented as struct, union, or enum with the name of the typedef. So
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 # namespace, or class. And the struct will be named TypeS. This can typically
280 # namespace, or class. And the struct will be named TypeS. This can typically
281 # be useful for C code in case the coding convention dictates that all compound
281 # be useful for C code in case the coding convention dictates that all compound
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283
283
284 TYPEDEF_HIDES_STRUCT = NO
284 TYPEDEF_HIDES_STRUCT = NO
285
285
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 # determine which symbols to keep in memory and which to flush to disk.
287 # determine which symbols to keep in memory and which to flush to disk.
288 # When the cache is full, less often used symbols will be written to disk.
288 # When the cache is full, less often used symbols will be written to disk.
289 # For small to medium size projects (<1000 input files) the default value is
289 # For small to medium size projects (<1000 input files) the default value is
290 # probably good enough. For larger projects a too small cache size can cause
290 # probably good enough. For larger projects a too small cache size can cause
291 # doxygen to be busy swapping symbols to and from disk most of the time
291 # doxygen to be busy swapping symbols to and from disk most of the time
292 # causing a significant performance penality.
292 # causing a significant performance penality.
293 # If the system has enough physical memory increasing the cache will improve the
293 # If the system has enough physical memory increasing the cache will improve the
294 # performance by keeping more symbols in memory. Note that the value works on
294 # performance by keeping more symbols in memory. Note that the value works on
295 # a logarithmic scale so increasing the size by one will rougly double the
295 # a logarithmic scale so increasing the size by one will rougly double the
296 # memory usage. The cache size is given by this formula:
296 # memory usage. The cache size is given by this formula:
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 # corresponding to a cache size of 2^16 = 65536 symbols
298 # corresponding to a cache size of 2^16 = 65536 symbols
299
299
300 SYMBOL_CACHE_SIZE = 0
300 SYMBOL_CACHE_SIZE = 0
301
301
302 #---------------------------------------------------------------------------
302 #---------------------------------------------------------------------------
303 # Build related configuration options
303 # Build related configuration options
304 #---------------------------------------------------------------------------
304 #---------------------------------------------------------------------------
305
305
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 # documentation are documented, even if no documentation was available.
307 # documentation are documented, even if no documentation was available.
308 # Private class members and static file members will be hidden unless
308 # Private class members and static file members will be hidden unless
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310
310
311 EXTRACT_ALL = YES
311 EXTRACT_ALL = YES
312
312
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 # will be included in the documentation.
314 # will be included in the documentation.
315
315
316 EXTRACT_PRIVATE = NO
316 EXTRACT_PRIVATE = NO
317
317
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 # will be included in the documentation.
319 # will be included in the documentation.
320
320
321 EXTRACT_STATIC = NO
321 EXTRACT_STATIC = NO
322
322
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 # defined locally in source files will be included in the documentation.
324 # defined locally in source files will be included in the documentation.
325 # If set to NO only classes defined in header files are included.
325 # If set to NO only classes defined in header files are included.
326
326
327 EXTRACT_LOCAL_CLASSES = YES
327 EXTRACT_LOCAL_CLASSES = YES
328
328
329 # This flag is only useful for Objective-C code. When set to YES local
329 # This flag is only useful for Objective-C code. When set to YES local
330 # methods, which are defined in the implementation section but not in
330 # methods, which are defined in the implementation section but not in
331 # the interface are included in the documentation.
331 # the interface are included in the documentation.
332 # If set to NO (the default) only methods in the interface are included.
332 # If set to NO (the default) only methods in the interface are included.
333
333
334 EXTRACT_LOCAL_METHODS = YES
334 EXTRACT_LOCAL_METHODS = YES
335
335
336 # If this flag is set to YES, the members of anonymous namespaces will be
336 # If this flag is set to YES, the members of anonymous namespaces will be
337 # extracted and appear in the documentation as a namespace called
337 # extracted and appear in the documentation as a namespace called
338 # 'anonymous_namespace{file}', where file will be replaced with the base
338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 # name of the file that contains the anonymous namespace. By default
339 # name of the file that contains the anonymous namespace. By default
340 # anonymous namespace are hidden.
340 # anonymous namespace are hidden.
341
341
342 EXTRACT_ANON_NSPACES = YES
342 EXTRACT_ANON_NSPACES = NO
343
343
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 # undocumented members of documented classes, files or namespaces.
345 # undocumented members of documented classes, files or namespaces.
346 # If set to NO (the default) these members will be included in the
346 # If set to NO (the default) these members will be included in the
347 # various overviews, but no documentation section is generated.
347 # various overviews, but no documentation section is generated.
348 # This option has no effect if EXTRACT_ALL is enabled.
348 # This option has no effect if EXTRACT_ALL is enabled.
349
349
350 HIDE_UNDOC_MEMBERS = NO
350 HIDE_UNDOC_MEMBERS = NO
351
351
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 # undocumented classes that are normally visible in the class hierarchy.
353 # undocumented classes that are normally visible in the class hierarchy.
354 # If set to NO (the default) these classes will be included in the various
354 # If set to NO (the default) these classes will be included in the various
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356
356
357 HIDE_UNDOC_CLASSES = NO
357 HIDE_UNDOC_CLASSES = NO
358
358
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 # friend (class|struct|union) declarations.
360 # friend (class|struct|union) declarations.
361 # If set to NO (the default) these declarations will be included in the
361 # If set to NO (the default) these declarations will be included in the
362 # documentation.
362 # documentation.
363
363
364 HIDE_FRIEND_COMPOUNDS = NO
364 HIDE_FRIEND_COMPOUNDS = NO
365
365
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 # documentation blocks found inside the body of a function.
367 # documentation blocks found inside the body of a function.
368 # If set to NO (the default) these blocks will be appended to the
368 # If set to NO (the default) these blocks will be appended to the
369 # function's detailed documentation block.
369 # function's detailed documentation block.
370
370
371 HIDE_IN_BODY_DOCS = NO
371 HIDE_IN_BODY_DOCS = NO
372
372
373 # The INTERNAL_DOCS tag determines if documentation
373 # The INTERNAL_DOCS tag determines if documentation
374 # that is typed after a \internal command is included. If the tag is set
374 # that is typed after a \internal command is included. If the tag is set
375 # to NO (the default) then the documentation will be excluded.
375 # to NO (the default) then the documentation will be excluded.
376 # Set it to YES to include the internal documentation.
376 # Set it to YES to include the internal documentation.
377
377
378 INTERNAL_DOCS = NO
378 INTERNAL_DOCS = NO
379
379
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 # file names in lower-case letters. If set to YES upper-case letters are also
381 # file names in lower-case letters. If set to YES upper-case letters are also
382 # allowed. This is useful if you have classes or files whose names only differ
382 # allowed. This is useful if you have classes or files whose names only differ
383 # in case and if your file system supports case sensitive file names. Windows
383 # in case and if your file system supports case sensitive file names. Windows
384 # and Mac users are advised to set this option to NO.
384 # and Mac users are advised to set this option to NO.
385
385
386 CASE_SENSE_NAMES = NO
386 CASE_SENSE_NAMES = NO
387
387
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 # will show members with their full class and namespace scopes in the
389 # will show members with their full class and namespace scopes in the
390 # documentation. If set to YES the scope will be hidden.
390 # documentation. If set to YES the scope will be hidden.
391
391
392 HIDE_SCOPE_NAMES = YES
392 HIDE_SCOPE_NAMES = YES
393
393
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 # will put a list of the files that are included by a file in the documentation
395 # will put a list of the files that are included by a file in the documentation
396 # of that file.
396 # of that file.
397
397
398 SHOW_INCLUDE_FILES = YES
398 SHOW_INCLUDE_FILES = YES
399
399
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 # will list include files with double quotes in the documentation
401 # will list include files with double quotes in the documentation
402 # rather than with sharp brackets.
402 # rather than with sharp brackets.
403
403
404 FORCE_LOCAL_INCLUDES = NO
404 FORCE_LOCAL_INCLUDES = NO
405
405
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 # is inserted in the documentation for inline members.
407 # is inserted in the documentation for inline members.
408
408
409 INLINE_INFO = YES
409 INLINE_INFO = YES
410
410
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 # will sort the (detailed) documentation of file and class members
412 # will sort the (detailed) documentation of file and class members
413 # alphabetically by member name. If set to NO the members will appear in
413 # alphabetically by member name. If set to NO the members will appear in
414 # declaration order.
414 # declaration order.
415
415
416 SORT_MEMBER_DOCS = YES
416 SORT_MEMBER_DOCS = YES
417
417
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 # brief documentation of file, namespace and class members alphabetically
419 # brief documentation of file, namespace and class members alphabetically
420 # by member name. If set to NO (the default) the members will appear in
420 # by member name. If set to NO (the default) the members will appear in
421 # declaration order.
421 # declaration order.
422
422
423 SORT_BRIEF_DOCS = NO
423 SORT_BRIEF_DOCS = NO
424
424
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 # will sort the (brief and detailed) documentation of class members so that
426 # will sort the (brief and detailed) documentation of class members so that
427 # constructors and destructors are listed first. If set to NO (the default)
427 # constructors and destructors are listed first. If set to NO (the default)
428 # the constructors will appear in the respective orders defined by
428 # the constructors will appear in the respective orders defined by
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432
432
433 SORT_MEMBERS_CTORS_1ST = NO
433 SORT_MEMBERS_CTORS_1ST = NO
434
434
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 # the group names will appear in their defined order.
437 # the group names will appear in their defined order.
438
438
439 SORT_GROUP_NAMES = NO
439 SORT_GROUP_NAMES = NO
440
440
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 # sorted by fully-qualified names, including namespaces. If set to
442 # sorted by fully-qualified names, including namespaces. If set to
443 # NO (the default), the class list will be sorted only by class name,
443 # NO (the default), the class list will be sorted only by class name,
444 # not including the namespace part.
444 # not including the namespace part.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 # Note: This option applies only to the class list, not to the
446 # Note: This option applies only to the class list, not to the
447 # alphabetical list.
447 # alphabetical list.
448
448
449 SORT_BY_SCOPE_NAME = NO
449 SORT_BY_SCOPE_NAME = NO
450
450
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 # disable (NO) the todo list. This list is created by putting \todo
452 # disable (NO) the todo list. This list is created by putting \todo
453 # commands in the documentation.
453 # commands in the documentation.
454
454
455 GENERATE_TODOLIST = YES
455 GENERATE_TODOLIST = YES
456
456
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 # disable (NO) the test list. This list is created by putting \test
458 # disable (NO) the test list. This list is created by putting \test
459 # commands in the documentation.
459 # commands in the documentation.
460
460
461 GENERATE_TESTLIST = YES
461 GENERATE_TESTLIST = YES
462
462
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 # disable (NO) the bug list. This list is created by putting \bug
464 # disable (NO) the bug list. This list is created by putting \bug
465 # commands in the documentation.
465 # commands in the documentation.
466
466
467 GENERATE_BUGLIST = YES
467 GENERATE_BUGLIST = YES
468
468
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 # disable (NO) the deprecated list. This list is created by putting
470 # disable (NO) the deprecated list. This list is created by putting
471 # \deprecated commands in the documentation.
471 # \deprecated commands in the documentation.
472
472
473 GENERATE_DEPRECATEDLIST= YES
473 GENERATE_DEPRECATEDLIST= YES
474
474
475 # The ENABLED_SECTIONS tag can be used to enable conditional
475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 # documentation sections, marked by \if sectionname ... \endif.
476 # documentation sections, marked by \if sectionname ... \endif.
477
477
478 ENABLED_SECTIONS =
478 ENABLED_SECTIONS =
479
479
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 # the initial value of a variable or define consists of for it to appear in
481 # the initial value of a variable or define consists of for it to appear in
482 # the documentation. If the initializer consists of more lines than specified
482 # the documentation. If the initializer consists of more lines than specified
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 # The appearance of the initializer of individual variables and defines in the
484 # The appearance of the initializer of individual variables and defines in the
485 # documentation can be controlled using \showinitializer or \hideinitializer
485 # documentation can be controlled using \showinitializer or \hideinitializer
486 # command in the documentation regardless of this setting.
486 # command in the documentation regardless of this setting.
487
487
488 MAX_INITIALIZER_LINES = 30
488 MAX_INITIALIZER_LINES = 30
489
489
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 # at the bottom of the documentation of classes and structs. If set to YES the
491 # at the bottom of the documentation of classes and structs. If set to YES the
492 # list will mention the files that were used to generate the documentation.
492 # list will mention the files that were used to generate the documentation.
493
493
494 SHOW_USED_FILES = YES
494 SHOW_USED_FILES = YES
495
495
496 # If the sources in your project are distributed over multiple directories
496 # If the sources in your project are distributed over multiple directories
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 # in the documentation. The default is NO.
498 # in the documentation. The default is NO.
499
499
500 SHOW_DIRECTORIES = YES
500 SHOW_DIRECTORIES = NO
501
501
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 # This will remove the Files entry from the Quick Index and from the
503 # This will remove the Files entry from the Quick Index and from the
504 # Folder Tree View (if specified). The default is YES.
504 # Folder Tree View (if specified). The default is YES.
505
505
506 SHOW_FILES = YES
506 SHOW_FILES = YES
507
507
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 # and from the Folder Tree View (if specified). The default is YES.
510 # and from the Folder Tree View (if specified). The default is YES.
511
511
512 SHOW_NAMESPACES = YES
512 SHOW_NAMESPACES = YES
513
513
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 # doxygen should invoke to get the current version for each file (typically from
515 # doxygen should invoke to get the current version for each file (typically from
516 # the version control system). Doxygen will invoke the program by executing (via
516 # the version control system). Doxygen will invoke the program by executing (via
517 # popen()) the command <command> <input-file>, where <command> is the value of
517 # popen()) the command <command> <input-file>, where <command> is the value of
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 # provided by doxygen. Whatever the program writes to standard output
519 # provided by doxygen. Whatever the program writes to standard output
520 # is used as the file version. See the manual for examples.
520 # is used as the file version. See the manual for examples.
521
521
522 FILE_VERSION_FILTER =
522 FILE_VERSION_FILTER =
523
523
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 # by doxygen. The layout file controls the global structure of the generated
525 # by doxygen. The layout file controls the global structure of the generated
526 # output files in an output format independent way. The create the layout file
526 # output files in an output format independent way. The create the layout file
527 # that represents doxygen's defaults, run doxygen with the -l option.
527 # that represents doxygen's defaults, run doxygen with the -l option.
528 # You can optionally specify a file name after the option, if omitted
528 # You can optionally specify a file name after the option, if omitted
529 # DoxygenLayout.xml will be used as the name of the layout file.
529 # DoxygenLayout.xml will be used as the name of the layout file.
530
530
531 LAYOUT_FILE =
531 LAYOUT_FILE =
532
532
533 #---------------------------------------------------------------------------
533 #---------------------------------------------------------------------------
534 # configuration options related to warning and progress messages
534 # configuration options related to warning and progress messages
535 #---------------------------------------------------------------------------
535 #---------------------------------------------------------------------------
536
536
537 # The QUIET tag can be used to turn on/off the messages that are generated
537 # The QUIET tag can be used to turn on/off the messages that are generated
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539
539
540 QUIET = NO
540 QUIET = NO
541
541
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 # generated by doxygen. Possible values are YES and NO. If left blank
543 # generated by doxygen. Possible values are YES and NO. If left blank
544 # NO is used.
544 # NO is used.
545
545
546 WARNINGS = YES
546 WARNINGS = YES
547
547
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 # automatically be disabled.
550 # automatically be disabled.
551
551
552 WARN_IF_UNDOCUMENTED = YES
552 WARN_IF_UNDOCUMENTED = YES
553
553
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 # potential errors in the documentation, such as not documenting some
555 # potential errors in the documentation, such as not documenting some
556 # parameters in a documented function, or documenting parameters that
556 # parameters in a documented function, or documenting parameters that
557 # don't exist or using markup commands wrongly.
557 # don't exist or using markup commands wrongly.
558
558
559 WARN_IF_DOC_ERROR = YES
559 WARN_IF_DOC_ERROR = YES
560
560
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 # functions that are documented, but have no documentation for their parameters
562 # functions that are documented, but have no documentation for their parameters
563 # or return value. If set to NO (the default) doxygen will only warn about
563 # or return value. If set to NO (the default) doxygen will only warn about
564 # wrong or incomplete parameter documentation, but not about the absence of
564 # wrong or incomplete parameter documentation, but not about the absence of
565 # documentation.
565 # documentation.
566
566
567 WARN_NO_PARAMDOC = NO
567 WARN_NO_PARAMDOC = NO
568
568
569 # The WARN_FORMAT tag determines the format of the warning messages that
569 # The WARN_FORMAT tag determines the format of the warning messages that
570 # doxygen can produce. The string should contain the $file, $line, and $text
570 # doxygen can produce. The string should contain the $file, $line, and $text
571 # tags, which will be replaced by the file and line number from which the
571 # tags, which will be replaced by the file and line number from which the
572 # warning originated and the warning text. Optionally the format may contain
572 # warning originated and the warning text. Optionally the format may contain
573 # $version, which will be replaced by the version of the file (if it could
573 # $version, which will be replaced by the version of the file (if it could
574 # be obtained via FILE_VERSION_FILTER)
574 # be obtained via FILE_VERSION_FILTER)
575
575
576 WARN_FORMAT = "$file:$line: $text"
576 WARN_FORMAT = "$file:$line: $text"
577
577
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 # and error messages should be written. If left blank the output is written
579 # and error messages should be written. If left blank the output is written
580 # to stderr.
580 # to stderr.
581
581
582 WARN_LOGFILE =
582 WARN_LOGFILE =
583
583
584 #---------------------------------------------------------------------------
584 #---------------------------------------------------------------------------
585 # configuration options related to the input files
585 # configuration options related to the input files
586 #---------------------------------------------------------------------------
586 #---------------------------------------------------------------------------
587
587
588 # The INPUT tag can be used to specify the files and/or directories that contain
588 # The INPUT tag can be used to specify the files and/or directories that contain
589 # documented source files. You may enter file names like "myfile.cpp" or
589 # documented source files. You may enter file names like "myfile.cpp" or
590 # directories like "/usr/src/myproject". Separate the files or directories
590 # directories like "/usr/src/myproject". Separate the files or directories
591 # with spaces.
591 # with spaces.
592
592
593 INPUT = ./libsrc
593 INPUT = ./libsrc
594
594
595 # This tag can be used to specify the character encoding of the source files
595 # This tag can be used to specify the character encoding of the source files
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 # the list of possible encodings.
599 # the list of possible encodings.
600
600
601 INPUT_ENCODING = UTF-8
601 INPUT_ENCODING = UTF-8
602
602
603 # If the value of the INPUT tag contains directories, you can use the
603 # If the value of the INPUT tag contains directories, you can use the
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 # and *.h) to filter out the source-files in the directories. If left
605 # and *.h) to filter out the source-files in the directories. If left
606 # blank the following patterns are tested:
606 # blank the following patterns are tested:
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609
609
610 FILE_PATTERNS = *.c \
610 FILE_PATTERNS = *.c \
611 *.cc \
611 *.cc \
612 *.cxx \
612 *.cxx \
613 *.cpp \
613 *.cpp \
614 *.c++ \
614 *.c++ \
615 *.d \
615 *.d \
616 *.java \
616 *.java \
617 *.ii \
617 *.ii \
618 *.ixx \
618 *.ixx \
619 *.ipp \
619 *.ipp \
620 *.i++ \
620 *.i++ \
621 *.inl \
621 *.inl \
622 *.h \
622 *.h \
623 *.hh \
623 *.hh \
624 *.hxx \
624 *.hxx \
625 *.hpp \
625 *.hpp \
626 *.h++ \
626 *.h++ \
627 *.idl \
627 *.idl \
628 *.odl \
628 *.odl \
629 *.cs \
629 *.cs \
630 *.php \
630 *.php \
631 *.php3 \
631 *.php3 \
632 *.inc \
632 *.inc \
633 *.m \
633 *.m \
634 *.mm \
634 *.mm \
635 *.dox \
635 *.dox \
636 *.py \
636 *.py \
637 *.f90 \
637 *.f90 \
638 *.f \
638 *.f \
639 *.vhd \
639 *.vhd \
640 *.vhdl
640 *.vhdl
641
641
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 # should be searched for input files as well. Possible values are YES and NO.
643 # should be searched for input files as well. Possible values are YES and NO.
644 # If left blank NO is used.
644 # If left blank NO is used.
645
645
646 RECURSIVE = YES
646 RECURSIVE = YES
647
647
648 # The EXCLUDE tag can be used to specify files and/or directories that should
648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 # excluded from the INPUT source files. This way you can easily exclude a
649 # excluded from the INPUT source files. This way you can easily exclude a
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651
651
652 EXCLUDE =
652 EXCLUDE =
653
653
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 # from the input.
656 # from the input.
657
657
658 EXCLUDE_SYMLINKS = NO
658 EXCLUDE_SYMLINKS = NO
659
659
660 # If the value of the INPUT tag contains directories, you can use the
660 # If the value of the INPUT tag contains directories, you can use the
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 # certain files from those directories. Note that the wildcards are matched
662 # certain files from those directories. Note that the wildcards are matched
663 # against the file with absolute path, so to exclude all test directories
663 # against the file with absolute path, so to exclude all test directories
664 # for example use the pattern */test/*
664 # for example use the pattern */test/*
665
665
666 EXCLUDE_PATTERNS =
666 EXCLUDE_PATTERNS =
667
667
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 # (namespaces, classes, functions, etc.) that should be excluded from the
669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 # output. The symbol name can be a fully qualified name, a word, or if the
670 # output. The symbol name can be a fully qualified name, a word, or if the
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 # AClass::ANamespace, ANamespace::*Test
672 # AClass::ANamespace, ANamespace::*Test
673
673
674 EXCLUDE_SYMBOLS =
674 EXCLUDE_SYMBOLS =
675
675
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 # directories that contain example code fragments that are included (see
677 # directories that contain example code fragments that are included (see
678 # the \include command).
678 # the \include command).
679
679
680 EXAMPLE_PATH = Doc/ressources/examples
680 EXAMPLE_PATH =
681
681
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 # and *.h) to filter out the source-files in the directories. If left
684 # and *.h) to filter out the source-files in the directories. If left
685 # blank all files are included.
685 # blank all files are included.
686
686
687 EXAMPLE_PATTERNS = *
687 EXAMPLE_PATTERNS = *
688
688
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 # searched for input files to be used with the \include or \dontinclude
690 # searched for input files to be used with the \include or \dontinclude
691 # commands irrespective of the value of the RECURSIVE tag.
691 # commands irrespective of the value of the RECURSIVE tag.
692 # Possible values are YES and NO. If left blank NO is used.
692 # Possible values are YES and NO. If left blank NO is used.
693
693
694 EXAMPLE_RECURSIVE = NO
694 EXAMPLE_RECURSIVE = NO
695
695
696 # The IMAGE_PATH tag can be used to specify one or more files or
696 # The IMAGE_PATH tag can be used to specify one or more files or
697 # directories that contain image that are included in the documentation (see
697 # directories that contain image that are included in the documentation (see
698 # the \image command).
698 # the \image command).
699
699
700 IMAGE_PATH =
700 IMAGE_PATH =
701
701
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 # invoke to filter for each input file. Doxygen will invoke the filter program
703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 # input file. Doxygen will then use the output that the filter program writes
706 # input file. Doxygen will then use the output that the filter program writes
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 # ignored.
708 # ignored.
709
709
710 INPUT_FILTER =
710 INPUT_FILTER =
711
711
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 # basis. Doxygen will compare the file name with each pattern and apply the
713 # basis. Doxygen will compare the file name with each pattern and apply the
714 # filter if there is a match. The filters are a list of the form:
714 # filter if there is a match. The filters are a list of the form:
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 # is applied to all files.
717 # is applied to all files.
718
718
719 FILTER_PATTERNS =
719 FILTER_PATTERNS =
720
720
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 # INPUT_FILTER) will be used to filter the input files when producing source
722 # INPUT_FILTER) will be used to filter the input files when producing source
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724
724
725 FILTER_SOURCE_FILES = NO
725 FILTER_SOURCE_FILES = NO
726
726
727 #---------------------------------------------------------------------------
727 #---------------------------------------------------------------------------
728 # configuration options related to source browsing
728 # configuration options related to source browsing
729 #---------------------------------------------------------------------------
729 #---------------------------------------------------------------------------
730
730
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 # be generated. Documented entities will be cross-referenced with these sources.
732 # be generated. Documented entities will be cross-referenced with these sources.
733 # Note: To get rid of all source code in the generated output, make sure also
733 # Note: To get rid of all source code in the generated output, make sure also
734 # VERBATIM_HEADERS is set to NO.
734 # VERBATIM_HEADERS is set to NO.
735
735
736 SOURCE_BROWSER = YES
736 SOURCE_BROWSER = YES
737
737
738 # Setting the INLINE_SOURCES tag to YES will include the body
738 # Setting the INLINE_SOURCES tag to YES will include the body
739 # of functions and classes directly in the documentation.
739 # of functions and classes directly in the documentation.
740
740
741 INLINE_SOURCES = YES
741 INLINE_SOURCES = YES
742
742
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 # doxygen to hide any special comment blocks from generated source code
744 # doxygen to hide any special comment blocks from generated source code
745 # fragments. Normal C and C++ comments will always remain visible.
745 # fragments. Normal C and C++ comments will always remain visible.
746
746
747 STRIP_CODE_COMMENTS = YES
747 STRIP_CODE_COMMENTS = YES
748
748
749 # If the REFERENCED_BY_RELATION tag is set to YES
749 # If the REFERENCED_BY_RELATION tag is set to YES
750 # then for each documented function all documented
750 # then for each documented function all documented
751 # functions referencing it will be listed.
751 # functions referencing it will be listed.
752
752
753 REFERENCED_BY_RELATION = NO
753 REFERENCED_BY_RELATION = NO
754
754
755 # If the REFERENCES_RELATION tag is set to YES
755 # If the REFERENCES_RELATION tag is set to YES
756 # then for each documented function all documented entities
756 # then for each documented function all documented entities
757 # called/used by that function will be listed.
757 # called/used by that function will be listed.
758
758
759 REFERENCES_RELATION = NO
759 REFERENCES_RELATION = NO
760
760
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 # link to the source code. Otherwise they will link to the documentation.
764 # link to the source code. Otherwise they will link to the documentation.
765
765
766 REFERENCES_LINK_SOURCE = YES
766 REFERENCES_LINK_SOURCE = YES
767
767
768 # If the USE_HTAGS tag is set to YES then the references to source code
768 # If the USE_HTAGS tag is set to YES then the references to source code
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 # built-in source browser. The htags tool is part of GNU's global source
770 # built-in source browser. The htags tool is part of GNU's global source
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 # will need version 4.8.6 or higher.
772 # will need version 4.8.6 or higher.
773
773
774 USE_HTAGS = NO
774 USE_HTAGS = NO
775
775
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 # will generate a verbatim copy of the header file for each class for
777 # will generate a verbatim copy of the header file for each class for
778 # which an include is specified. Set to NO to disable this.
778 # which an include is specified. Set to NO to disable this.
779
779
780 VERBATIM_HEADERS = YES
780 VERBATIM_HEADERS = YES
781
781
782 #---------------------------------------------------------------------------
782 #---------------------------------------------------------------------------
783 # configuration options related to the alphabetical class index
783 # configuration options related to the alphabetical class index
784 #---------------------------------------------------------------------------
784 #---------------------------------------------------------------------------
785
785
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 # of all compounds will be generated. Enable this if the project
787 # of all compounds will be generated. Enable this if the project
788 # contains a lot of classes, structs, unions or interfaces.
788 # contains a lot of classes, structs, unions or interfaces.
789
789
790 ALPHABETICAL_INDEX = YES
790 ALPHABETICAL_INDEX = YES
791
791
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 # in which this list will be split (can be a number in the range [1..20])
794 # in which this list will be split (can be a number in the range [1..20])
795
795
796 COLS_IN_ALPHA_INDEX = 5
796 COLS_IN_ALPHA_INDEX = 5
797
797
798 # In case all classes in a project start with a common prefix, all
798 # In case all classes in a project start with a common prefix, all
799 # classes will be put under the same header in the alphabetical index.
799 # classes will be put under the same header in the alphabetical index.
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 # should be ignored while generating the index headers.
801 # should be ignored while generating the index headers.
802
802
803 IGNORE_PREFIX =
803 IGNORE_PREFIX =
804
804
805 #---------------------------------------------------------------------------
805 #---------------------------------------------------------------------------
806 # configuration options related to the HTML output
806 # configuration options related to the HTML output
807 #---------------------------------------------------------------------------
807 #---------------------------------------------------------------------------
808
808
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 # generate HTML output.
810 # generate HTML output.
811
811
812 GENERATE_HTML = YES
812 GENERATE_HTML = YES
813
813
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 # put in front of it. If left blank `html' will be used as the default path.
816 # put in front of it. If left blank `html' will be used as the default path.
817
817
818 HTML_OUTPUT = html
818 HTML_OUTPUT = html
819
819
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 # doxygen will generate files with .html extension.
822 # doxygen will generate files with .html extension.
823
823
824 HTML_FILE_EXTENSION = .html
824 HTML_FILE_EXTENSION = .html
825
825
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 # each generated HTML page. If it is left blank doxygen will generate a
827 # each generated HTML page. If it is left blank doxygen will generate a
828 # standard header.
828 # standard header.
829
829
830 HTML_HEADER = Doc/ressources/Header
830 HTML_HEADER =
831
831
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 # each generated HTML page. If it is left blank doxygen will generate a
833 # each generated HTML page. If it is left blank doxygen will generate a
834 # standard footer.
834 # standard footer.
835
835
836 HTML_FOOTER = Doc/ressources/Footer
836 HTML_FOOTER =
837
837
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 # style sheet that is used by each HTML page. It can be used to
839 # style sheet that is used by each HTML page. It can be used to
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 # will generate a default style sheet. Note that doxygen will try to copy
841 # will generate a default style sheet. Note that doxygen will try to copy
842 # the style sheet file to the HTML output directory, so don't put your own
842 # the style sheet file to the HTML output directory, so don't put your own
843 # stylesheet in the HTML output directory as well, or it will be erased!
843 # stylesheet in the HTML output directory as well, or it will be erased!
844
844
845 HTML_STYLESHEET = Doc/ressources/doxygen.css
845 HTML_STYLESHEET =
846
846
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 # Doxygen will adjust the colors in the stylesheet and background images
848 # Doxygen will adjust the colors in the stylesheet and background images
849 # according to this color. Hue is specified as an angle on a colorwheel,
849 # according to this color. Hue is specified as an angle on a colorwheel,
850 # see http://en.wikipedia.org/wiki/Hue for more information.
850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 # The allowed range is 0 to 359.
853 # The allowed range is 0 to 359.
854
854
855 HTML_COLORSTYLE_HUE = 218
855 HTML_COLORSTYLE_HUE = 220
856
856
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 # the colors in the HTML output. For a value of 0 the output will use
858 # the colors in the HTML output. For a value of 0 the output will use
859 # grayscales only. A value of 255 will produce the most vivid colors.
859 # grayscales only. A value of 255 will produce the most vivid colors.
860
860
861 HTML_COLORSTYLE_SAT = 100
861 HTML_COLORSTYLE_SAT = 100
862
862
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 # the luminance component of the colors in the HTML output. Values below
864 # the luminance component of the colors in the HTML output. Values below
865 # 100 gradually make the output lighter, whereas values above 100 make
865 # 100 gradually make the output lighter, whereas values above 100 make
866 # the output darker. The value divided by 100 is the actual gamma applied,
866 # the output darker. The value divided by 100 is the actual gamma applied,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 # and 100 does not change the gamma.
868 # and 100 does not change the gamma.
869
869
870 HTML_COLORSTYLE_GAMMA = 80
870 HTML_COLORSTYLE_GAMMA = 80
871
871
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 # page will contain the date and time when the page was generated. Setting
873 # page will contain the date and time when the page was generated. Setting
874 # this to NO can help when comparing the output of multiple runs.
874 # this to NO can help when comparing the output of multiple runs.
875
875
876 HTML_TIMESTAMP = YES
876 HTML_TIMESTAMP = YES
877
877
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 # files or namespaces will be aligned in HTML using tables. If set to
879 # files or namespaces will be aligned in HTML using tables. If set to
880 # NO a bullet list will be used.
880 # NO a bullet list will be used.
881
881
882 HTML_ALIGN_MEMBERS = YES
882 HTML_ALIGN_MEMBERS = YES
883
883
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 # documentation will contain sections that can be hidden and shown after the
885 # documentation will contain sections that can be hidden and shown after the
886 # page has loaded. For this to work a browser that supports
886 # page has loaded. For this to work a browser that supports
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889
889
890 HTML_DYNAMIC_SECTIONS = YES
890 HTML_DYNAMIC_SECTIONS = YES
891
891
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 # will be generated that can be used as input for Apple's Xcode 3
893 # will be generated that can be used as input for Apple's Xcode 3
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 # To create a documentation set, doxygen will generate a Makefile in the
895 # To create a documentation set, doxygen will generate a Makefile in the
896 # HTML output directory. Running make will produce the docset in that
896 # HTML output directory. Running make will produce the docset in that
897 # directory and running "make install" will install the docset in
897 # directory and running "make install" will install the docset in
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 # it at startup.
899 # it at startup.
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 # for more information.
901 # for more information.
902
902
903 GENERATE_DOCSET = NO
903 GENERATE_DOCSET = NO
904
904
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 # feed. A documentation feed provides an umbrella under which multiple
906 # feed. A documentation feed provides an umbrella under which multiple
907 # documentation sets from a single provider (such as a company or product suite)
907 # documentation sets from a single provider (such as a company or product suite)
908 # can be grouped.
908 # can be grouped.
909
909
910 DOCSET_FEEDNAME = "Doxygen generated docs"
910 DOCSET_FEEDNAME = "Doxygen generated docs"
911
911
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 # should uniquely identify the documentation set bundle. This should be a
913 # should uniquely identify the documentation set bundle. This should be a
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 # will append .docset to the name.
915 # will append .docset to the name.
916
916
917 DOCSET_BUNDLE_ID = org.doxygen.Project
917 DOCSET_BUNDLE_ID = org.doxygen.Project
918
918
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 # the documentation publisher. This should be a reverse domain-name style
920 # the documentation publisher. This should be a reverse domain-name style
921 # string, e.g. com.mycompany.MyDocSet.documentation.
921 # string, e.g. com.mycompany.MyDocSet.documentation.
922
922
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924
924
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926
926
927 DOCSET_PUBLISHER_NAME = Publisher
927 DOCSET_PUBLISHER_NAME = Publisher
928
928
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 # will be generated that can be used as input for tools like the
930 # will be generated that can be used as input for tools like the
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 # of the generated HTML documentation.
932 # of the generated HTML documentation.
933
933
934 GENERATE_HTMLHELP = NO
934 GENERATE_HTMLHELP = NO
935
935
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 # be used to specify the file name of the resulting .chm file. You
937 # be used to specify the file name of the resulting .chm file. You
938 # can add a path in front of the file if the result should not be
938 # can add a path in front of the file if the result should not be
939 # written to the html output directory.
939 # written to the html output directory.
940
940
941 CHM_FILE =
941 CHM_FILE =
942
942
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 # be used to specify the location (absolute path including file name) of
944 # be used to specify the location (absolute path including file name) of
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 # the HTML help compiler on the generated index.hhp.
946 # the HTML help compiler on the generated index.hhp.
947
947
948 HHC_LOCATION =
948 HHC_LOCATION =
949
949
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 # controls if a separate .chi index file is generated (YES) or that
951 # controls if a separate .chi index file is generated (YES) or that
952 # it should be included in the master .chm file (NO).
952 # it should be included in the master .chm file (NO).
953
953
954 GENERATE_CHI = NO
954 GENERATE_CHI = NO
955
955
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 # content.
958 # content.
959
959
960 CHM_INDEX_ENCODING =
960 CHM_INDEX_ENCODING =
961
961
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 # controls whether a binary table of contents is generated (YES) or a
963 # controls whether a binary table of contents is generated (YES) or a
964 # normal table of contents (NO) in the .chm file.
964 # normal table of contents (NO) in the .chm file.
965
965
966 BINARY_TOC = NO
966 BINARY_TOC = NO
967
967
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 # to the contents of the HTML help documentation and to the tree view.
969 # to the contents of the HTML help documentation and to the tree view.
970
970
971 TOC_EXPAND = NO
971 TOC_EXPAND = NO
972
972
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 # that can be used as input for Qt's qhelpgenerator to generate a
975 # that can be used as input for Qt's qhelpgenerator to generate a
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977
977
978 GENERATE_QHP = NO
978 GENERATE_QHP = NO
979
979
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 # be used to specify the file name of the resulting .qch file.
981 # be used to specify the file name of the resulting .qch file.
982 # The path specified is relative to the HTML output folder.
982 # The path specified is relative to the HTML output folder.
983
983
984 QCH_FILE =
984 QCH_FILE =
985
985
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 # Qt Help Project output. For more information please see
987 # Qt Help Project output. For more information please see
988 # http://doc.trolltech.com/qthelpproject.html#namespace
988 # http://doc.trolltech.com/qthelpproject.html#namespace
989
989
990 QHP_NAMESPACE = org.doxygen.Project
990 QHP_NAMESPACE = org.doxygen.Project
991
991
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 # Qt Help Project output. For more information please see
993 # Qt Help Project output. For more information please see
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995
995
996 QHP_VIRTUAL_FOLDER = doc
996 QHP_VIRTUAL_FOLDER = doc
997
997
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 # add. For more information please see
999 # add. For more information please see
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001
1001
1002 QHP_CUST_FILTER_NAME =
1002 QHP_CUST_FILTER_NAME =
1003
1003
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 # custom filter to add. For more information please see
1005 # custom filter to add. For more information please see
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 # Qt Help Project / Custom Filters</a>.
1007 # Qt Help Project / Custom Filters</a>.
1008
1008
1009 QHP_CUST_FILTER_ATTRS =
1009 QHP_CUST_FILTER_ATTRS =
1010
1010
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 # project's
1012 # project's
1013 # filter section matches.
1013 # filter section matches.
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 # Qt Help Project / Filter Attributes</a>.
1015 # Qt Help Project / Filter Attributes</a>.
1016
1016
1017 QHP_SECT_FILTER_ATTRS =
1017 QHP_SECT_FILTER_ATTRS =
1018
1018
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 # be used to specify the location of Qt's qhelpgenerator.
1020 # be used to specify the location of Qt's qhelpgenerator.
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 # .qhp file.
1022 # .qhp file.
1023
1023
1024 QHG_LOCATION =
1024 QHG_LOCATION =
1025
1025
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 # will be generated, which together with the HTML files, form an Eclipse help
1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 # plugin. To install this plugin and make it available under the help contents
1028 # plugin. To install this plugin and make it available under the help contents
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 # the directory within the plugins directory should be the same as
1031 # the directory within the plugins directory should be the same as
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 # the help appears.
1033 # the help appears.
1034
1034
1035 GENERATE_ECLIPSEHELP = NO
1035 GENERATE_ECLIPSEHELP = NO
1036
1036
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 # the directory name containing the HTML and XML files should also have
1038 # the directory name containing the HTML and XML files should also have
1039 # this name.
1039 # this name.
1040
1040
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042
1042
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 # top of each HTML page. The value NO (the default) enables the index and
1044 # top of each HTML page. The value NO (the default) enables the index and
1045 # the value YES disables it.
1045 # the value YES disables it.
1046
1046
1047 DISABLE_INDEX = NO
1047 DISABLE_INDEX = NO
1048
1048
1049 # This tag can be used to set the number of enum values (range [1..20])
1049 # This tag can be used to set the number of enum values (range [1..20])
1050 # that doxygen will group on one line in the generated HTML documentation.
1050 # that doxygen will group on one line in the generated HTML documentation.
1051
1051
1052 ENUM_VALUES_PER_LINE = 4
1052 ENUM_VALUES_PER_LINE = 4
1053
1053
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 # structure should be generated to display hierarchical information.
1055 # structure should be generated to display hierarchical information.
1056 # If the tag value is set to YES, a side panel will be generated
1056 # If the tag value is set to YES, a side panel will be generated
1057 # containing a tree-like index structure (just like the one that
1057 # containing a tree-like index structure (just like the one that
1058 # is generated for HTML Help). For this to work a browser that supports
1058 # is generated for HTML Help). For this to work a browser that supports
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 # Windows users are probably better off using the HTML help feature.
1060 # Windows users are probably better off using the HTML help feature.
1061
1061
1062 GENERATE_TREEVIEW = YES
1062 GENERATE_TREEVIEW = NO
1063
1063
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066
1066
1067 USE_INLINE_TREES = NO
1067 USE_INLINE_TREES = NO
1068
1068
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 # used to set the initial width (in pixels) of the frame in which the tree
1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 # is shown.
1071 # is shown.
1072
1072
1073 TREEVIEW_WIDTH = 250
1073 TREEVIEW_WIDTH = 250
1074
1074
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 # links to external symbols imported via tag files in a separate window.
1076 # links to external symbols imported via tag files in a separate window.
1077
1077
1078 EXT_LINKS_IN_WINDOW = NO
1078 EXT_LINKS_IN_WINDOW = NO
1079
1079
1080 # Use this tag to change the font size of Latex formulas included
1080 # Use this tag to change the font size of Latex formulas included
1081 # as images in the HTML documentation. The default is 10. Note that
1081 # as images in the HTML documentation. The default is 10. Note that
1082 # when you change the font size after a successful doxygen run you need
1082 # when you change the font size after a successful doxygen run you need
1083 # to manually remove any form_*.png images from the HTML output directory
1083 # to manually remove any form_*.png images from the HTML output directory
1084 # to force them to be regenerated.
1084 # to force them to be regenerated.
1085
1085
1086 FORMULA_FONTSIZE = 10
1086 FORMULA_FONTSIZE = 10
1087
1087
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 # Note that when changing this option you need to delete any form_*.png files
1091 # Note that when changing this option you need to delete any form_*.png files
1092 # in the HTML output before the changes have effect.
1092 # in the HTML output before the changes have effect.
1093
1093
1094 FORMULA_TRANSPARENT = YES
1094 FORMULA_TRANSPARENT = YES
1095
1095
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 # for the HTML output. The underlying search engine uses javascript
1097 # for the HTML output. The underlying search engine uses javascript
1098 # and DHTML and should work on any modern browser. Note that when using
1098 # and DHTML and should work on any modern browser. Note that when using
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 # typically be disabled. For large projects the javascript based search engine
1101 # typically be disabled. For large projects the javascript based search engine
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103
1103
1104 SEARCHENGINE = YES
1104 SEARCHENGINE = YES
1105
1105
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 # implemented using a PHP enabled web server instead of at the web client
1107 # implemented using a PHP enabled web server instead of at the web client
1108 # using Javascript. Doxygen will generate the search PHP script and index
1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 # file to put on the web server. The advantage of the server
1109 # file to put on the web server. The advantage of the server
1110 # based approach is that it scales better to large projects and allows
1110 # based approach is that it scales better to large projects and allows
1111 # full text search. The disadvances is that it is more difficult to setup
1111 # full text search. The disadvances is that it is more difficult to setup
1112 # and does not have live searching capabilities.
1112 # and does not have live searching capabilities.
1113
1113
1114 SERVER_BASED_SEARCH = NO
1114 SERVER_BASED_SEARCH = NO
1115
1115
1116 #---------------------------------------------------------------------------
1116 #---------------------------------------------------------------------------
1117 # configuration options related to the LaTeX output
1117 # configuration options related to the LaTeX output
1118 #---------------------------------------------------------------------------
1118 #---------------------------------------------------------------------------
1119
1119
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 # generate Latex output.
1121 # generate Latex output.
1122
1122
1123 GENERATE_LATEX = YES
1123 GENERATE_LATEX = YES
1124
1124
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 # put in front of it. If left blank `latex' will be used as the default path.
1127 # put in front of it. If left blank `latex' will be used as the default path.
1128
1128
1129 LATEX_OUTPUT = latex
1129 LATEX_OUTPUT = latex
1130
1130
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 # invoked. If left blank `latex' will be used as the default command name.
1132 # invoked. If left blank `latex' will be used as the default command name.
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 # generating bitmaps for formulas in the HTML output, but not in the
1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 # Makefile that is written to the output directory.
1135 # Makefile that is written to the output directory.
1136
1136
1137 LATEX_CMD_NAME = latex
1137 LATEX_CMD_NAME = latex
1138
1138
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 # default command name.
1141 # default command name.
1142
1142
1143 MAKEINDEX_CMD_NAME = makeindex
1143 MAKEINDEX_CMD_NAME = makeindex
1144
1144
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 # LaTeX documents. This may be useful for small projects and may help to
1146 # LaTeX documents. This may be useful for small projects and may help to
1147 # save some trees in general.
1147 # save some trees in general.
1148
1148
1149 COMPACT_LATEX = YES
1149 COMPACT_LATEX = YES
1150
1150
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 # executive. If left blank a4wide will be used.
1153 # executive. If left blank a4wide will be used.
1154
1154
1155 PAPER_TYPE = a4wide
1155 PAPER_TYPE = a4wide
1156
1156
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 # packages that should be included in the LaTeX output.
1158 # packages that should be included in the LaTeX output.
1159
1159
1160 EXTRA_PACKAGES =
1160 EXTRA_PACKAGES =
1161
1161
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 # the generated latex document. The header should contain everything until
1163 # the generated latex document. The header should contain everything until
1164 # the first chapter. If it is left blank doxygen will generate a
1164 # the first chapter. If it is left blank doxygen will generate a
1165 # standard header. Notice: only use this tag if you know what you are doing!
1165 # standard header. Notice: only use this tag if you know what you are doing!
1166
1166
1167 LATEX_HEADER =
1167 LATEX_HEADER =
1168
1168
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 # contain links (just like the HTML output) instead of page references
1171 # contain links (just like the HTML output) instead of page references
1172 # This makes the output suitable for online browsing using a pdf viewer.
1172 # This makes the output suitable for online browsing using a pdf viewer.
1173
1173
1174 PDF_HYPERLINKS = YES
1174 PDF_HYPERLINKS = YES
1175
1175
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 # higher quality PDF documentation.
1178 # higher quality PDF documentation.
1179
1179
1180 USE_PDFLATEX = YES
1180 USE_PDFLATEX = YES
1181
1181
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 # running if errors occur, instead of asking the user for help.
1184 # running if errors occur, instead of asking the user for help.
1185 # This option is also used when generating formulas in HTML.
1185 # This option is also used when generating formulas in HTML.
1186
1186
1187 LATEX_BATCHMODE = NO
1187 LATEX_BATCHMODE = NO
1188
1188
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 # in the output.
1191 # in the output.
1192
1192
1193 LATEX_HIDE_INDICES = NO
1193 LATEX_HIDE_INDICES = NO
1194
1194
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 # source code with syntax highlighting in the LaTeX output.
1196 # source code with syntax highlighting in the LaTeX output.
1197 # Note that which sources are shown also depends on other settings
1197 # Note that which sources are shown also depends on other settings
1198 # such as SOURCE_BROWSER.
1198 # such as SOURCE_BROWSER.
1199
1199
1200 LATEX_SOURCE_CODE = NO
1200 LATEX_SOURCE_CODE = NO
1201
1201
1202 #---------------------------------------------------------------------------
1202 #---------------------------------------------------------------------------
1203 # configuration options related to the RTF output
1203 # configuration options related to the RTF output
1204 #---------------------------------------------------------------------------
1204 #---------------------------------------------------------------------------
1205
1205
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 # other RTF readers or editors.
1208 # other RTF readers or editors.
1209
1209
1210 GENERATE_RTF = NO
1210 GENERATE_RTF = NO
1211
1211
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215
1215
1216 RTF_OUTPUT = rtf
1216 RTF_OUTPUT = rtf
1217
1217
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 # RTF documents. This may be useful for small projects and may help to
1219 # RTF documents. This may be useful for small projects and may help to
1220 # save some trees in general.
1220 # save some trees in general.
1221
1221
1222 COMPACT_RTF = NO
1222 COMPACT_RTF = NO
1223
1223
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 # will contain hyperlink fields. The RTF file will
1225 # will contain hyperlink fields. The RTF file will
1226 # contain links (just like the HTML output) instead of page references.
1226 # contain links (just like the HTML output) instead of page references.
1227 # This makes the output suitable for online browsing using WORD or other
1227 # This makes the output suitable for online browsing using WORD or other
1228 # programs which support those fields.
1228 # programs which support those fields.
1229 # Note: wordpad (write) and others do not support links.
1229 # Note: wordpad (write) and others do not support links.
1230
1230
1231 RTF_HYPERLINKS = NO
1231 RTF_HYPERLINKS = NO
1232
1232
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 # config file, i.e. a series of assignments. You only have to provide
1234 # config file, i.e. a series of assignments. You only have to provide
1235 # replacements, missing definitions are set to their default value.
1235 # replacements, missing definitions are set to their default value.
1236
1236
1237 RTF_STYLESHEET_FILE =
1237 RTF_STYLESHEET_FILE =
1238
1238
1239 # Set optional variables used in the generation of an rtf document.
1239 # Set optional variables used in the generation of an rtf document.
1240 # Syntax is similar to doxygen's config file.
1240 # Syntax is similar to doxygen's config file.
1241
1241
1242 RTF_EXTENSIONS_FILE =
1242 RTF_EXTENSIONS_FILE =
1243
1243
1244 #---------------------------------------------------------------------------
1244 #---------------------------------------------------------------------------
1245 # configuration options related to the man page output
1245 # configuration options related to the man page output
1246 #---------------------------------------------------------------------------
1246 #---------------------------------------------------------------------------
1247
1247
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 # generate man pages
1249 # generate man pages
1250
1250
1251 GENERATE_MAN = NO
1251 GENERATE_MAN = NO
1252
1252
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 # put in front of it. If left blank `man' will be used as the default path.
1255 # put in front of it. If left blank `man' will be used as the default path.
1256
1256
1257 MAN_OUTPUT = man
1257 MAN_OUTPUT = man
1258
1258
1259 # The MAN_EXTENSION tag determines the extension that is added to
1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 # the generated man pages (default is the subroutine's section .3)
1260 # the generated man pages (default is the subroutine's section .3)
1261
1261
1262 MAN_EXTENSION = .3
1262 MAN_EXTENSION = .3
1263
1263
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 # then it will generate one additional man file for each entity
1265 # then it will generate one additional man file for each entity
1266 # documented in the real man page(s). These additional files
1266 # documented in the real man page(s). These additional files
1267 # only source the real man page, but without them the man command
1267 # only source the real man page, but without them the man command
1268 # would be unable to find the correct page. The default is NO.
1268 # would be unable to find the correct page. The default is NO.
1269
1269
1270 MAN_LINKS = NO
1270 MAN_LINKS = NO
1271
1271
1272 #---------------------------------------------------------------------------
1272 #---------------------------------------------------------------------------
1273 # configuration options related to the XML output
1273 # configuration options related to the XML output
1274 #---------------------------------------------------------------------------
1274 #---------------------------------------------------------------------------
1275
1275
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 # generate an XML file that captures the structure of
1277 # generate an XML file that captures the structure of
1278 # the code including all documentation.
1278 # the code including all documentation.
1279
1279
1280 GENERATE_XML = NO
1280 GENERATE_XML = NO
1281
1281
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 # put in front of it. If left blank `xml' will be used as the default path.
1284 # put in front of it. If left blank `xml' will be used as the default path.
1285
1285
1286 XML_OUTPUT = xml
1286 XML_OUTPUT = xml
1287
1287
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 # which can be used by a validating XML parser to check the
1289 # which can be used by a validating XML parser to check the
1290 # syntax of the XML files.
1290 # syntax of the XML files.
1291
1291
1292 XML_SCHEMA =
1292 XML_SCHEMA =
1293
1293
1294 # The XML_DTD tag can be used to specify an XML DTD,
1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 # which can be used by a validating XML parser to check the
1295 # which can be used by a validating XML parser to check the
1296 # syntax of the XML files.
1296 # syntax of the XML files.
1297
1297
1298 XML_DTD =
1298 XML_DTD =
1299
1299
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 # dump the program listings (including syntax highlighting
1301 # dump the program listings (including syntax highlighting
1302 # and cross-referencing information) to the XML output. Note that
1302 # and cross-referencing information) to the XML output. Note that
1303 # enabling this will significantly increase the size of the XML output.
1303 # enabling this will significantly increase the size of the XML output.
1304
1304
1305 XML_PROGRAMLISTING = YES
1305 XML_PROGRAMLISTING = YES
1306
1306
1307 #---------------------------------------------------------------------------
1307 #---------------------------------------------------------------------------
1308 # configuration options for the AutoGen Definitions output
1308 # configuration options for the AutoGen Definitions output
1309 #---------------------------------------------------------------------------
1309 #---------------------------------------------------------------------------
1310
1310
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 # that captures the structure of the code including all
1313 # that captures the structure of the code including all
1314 # documentation. Note that this feature is still experimental
1314 # documentation. Note that this feature is still experimental
1315 # and incomplete at the moment.
1315 # and incomplete at the moment.
1316
1316
1317 GENERATE_AUTOGEN_DEF = NO
1317 GENERATE_AUTOGEN_DEF = NO
1318
1318
1319 #---------------------------------------------------------------------------
1319 #---------------------------------------------------------------------------
1320 # configuration options related to the Perl module output
1320 # configuration options related to the Perl module output
1321 #---------------------------------------------------------------------------
1321 #---------------------------------------------------------------------------
1322
1322
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 # generate a Perl module file that captures the structure of
1324 # generate a Perl module file that captures the structure of
1325 # the code including all documentation. Note that this
1325 # the code including all documentation. Note that this
1326 # feature is still experimental and incomplete at the
1326 # feature is still experimental and incomplete at the
1327 # moment.
1327 # moment.
1328
1328
1329 GENERATE_PERLMOD = NO
1329 GENERATE_PERLMOD = NO
1330
1330
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 # to generate PDF and DVI output from the Perl module output.
1333 # to generate PDF and DVI output from the Perl module output.
1334
1334
1335 PERLMOD_LATEX = NO
1335 PERLMOD_LATEX = NO
1336
1336
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 # if you want to understand what is going on. On the other hand, if this
1339 # if you want to understand what is going on. On the other hand, if this
1340 # tag is set to NO the size of the Perl module output will be much smaller
1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 # and Perl will parse it just the same.
1341 # and Perl will parse it just the same.
1342
1342
1343 PERLMOD_PRETTY = YES
1343 PERLMOD_PRETTY = YES
1344
1344
1345 # The names of the make variables in the generated doxyrules.make file
1345 # The names of the make variables in the generated doxyrules.make file
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 # This is useful so different doxyrules.make files included by the same
1347 # This is useful so different doxyrules.make files included by the same
1348 # Makefile don't overwrite each other's variables.
1348 # Makefile don't overwrite each other's variables.
1349
1349
1350 PERLMOD_MAKEVAR_PREFIX =
1350 PERLMOD_MAKEVAR_PREFIX =
1351
1351
1352 #---------------------------------------------------------------------------
1352 #---------------------------------------------------------------------------
1353 # Configuration options related to the preprocessor
1353 # Configuration options related to the preprocessor
1354 #---------------------------------------------------------------------------
1354 #---------------------------------------------------------------------------
1355
1355
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 # evaluate all C-preprocessor directives found in the sources and include
1357 # evaluate all C-preprocessor directives found in the sources and include
1358 # files.
1358 # files.
1359
1359
1360 ENABLE_PREPROCESSING = YES
1360 ENABLE_PREPROCESSING = YES
1361
1361
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 # names in the source code. If set to NO (the default) only conditional
1363 # names in the source code. If set to NO (the default) only conditional
1364 # compilation will be performed. Macro expansion can be done in a controlled
1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366
1366
1367 MACRO_EXPANSION = NO
1367 MACRO_EXPANSION = NO
1368
1368
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 # then the macro expansion is limited to the macros specified with the
1370 # then the macro expansion is limited to the macros specified with the
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372
1372
1373 EXPAND_ONLY_PREDEF = NO
1373 EXPAND_ONLY_PREDEF = NO
1374
1374
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377
1377
1378 SEARCH_INCLUDES = YES
1378 SEARCH_INCLUDES = YES
1379
1379
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 # contain include files that are not input files but should be processed by
1381 # contain include files that are not input files but should be processed by
1382 # the preprocessor.
1382 # the preprocessor.
1383
1383
1384 INCLUDE_PATH =
1384 INCLUDE_PATH =
1385
1385
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 # be used.
1389 # be used.
1390
1390
1391 INCLUDE_FILE_PATTERNS =
1391 INCLUDE_FILE_PATTERNS =
1392
1392
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 # are defined before the preprocessor is started (similar to the -D option of
1394 # are defined before the preprocessor is started (similar to the -D option of
1395 # gcc). The argument of the tag is a list of macros of the form: name
1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 # or name=definition (no spaces). If the definition and the = are
1396 # or name=definition (no spaces). If the definition and the = are
1397 # omitted =1 is assumed. To prevent a macro definition from being
1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 # undefined via #undef or recursively expanded use the := operator
1398 # undefined via #undef or recursively expanded use the := operator
1399 # instead of the = operator.
1399 # instead of the = operator.
1400
1400
1401 PREDEFINED =
1401 PREDEFINED =
1402
1402
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 # this tag can be used to specify a list of macro names that should be expanded.
1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 # The macro definition that is found in the sources will be used.
1405 # The macro definition that is found in the sources will be used.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407
1407
1408 EXPAND_AS_DEFINED =
1408 EXPAND_AS_DEFINED =
1409
1409
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 # function macros are typically used for boiler-plate code, and will confuse
1413 # function macros are typically used for boiler-plate code, and will confuse
1414 # the parser if not removed.
1414 # the parser if not removed.
1415
1415
1416 SKIP_FUNCTION_MACROS = YES
1416 SKIP_FUNCTION_MACROS = YES
1417
1417
1418 #---------------------------------------------------------------------------
1418 #---------------------------------------------------------------------------
1419 # Configuration::additions related to external references
1419 # Configuration::additions related to external references
1420 #---------------------------------------------------------------------------
1420 #---------------------------------------------------------------------------
1421
1421
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 # Optionally an initial location of the external documentation
1423 # Optionally an initial location of the external documentation
1424 # can be added for each tagfile. The format of a tag file without
1424 # can be added for each tagfile. The format of a tag file without
1425 # this location is as follows:
1425 # this location is as follows:
1426 # TAGFILES = file1 file2 ...
1426 # TAGFILES = file1 file2 ...
1427 # Adding location for the tag files is done as follows:
1427 # Adding location for the tag files is done as follows:
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 # URLs. If a location is present for each tag, the installdox tool
1430 # URLs. If a location is present for each tag, the installdox tool
1431 # does not have to be run to correct the links.
1431 # does not have to be run to correct the links.
1432 # Note that each tag file must have a unique name
1432 # Note that each tag file must have a unique name
1433 # (where the name does NOT include the path)
1433 # (where the name does NOT include the path)
1434 # If a tag file is not located in the directory in which doxygen
1434 # If a tag file is not located in the directory in which doxygen
1435 # is run, you must also specify the path to the tagfile here.
1435 # is run, you must also specify the path to the tagfile here.
1436
1436
1437 TAGFILES =
1437 TAGFILES =
1438
1438
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 # a tag file that is based on the input files it reads.
1440 # a tag file that is based on the input files it reads.
1441
1441
1442 GENERATE_TAGFILE =
1442 GENERATE_TAGFILE =
1443
1443
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 # in the class index. If set to NO only the inherited external classes
1445 # in the class index. If set to NO only the inherited external classes
1446 # will be listed.
1446 # will be listed.
1447
1447
1448 ALLEXTERNALS = NO
1448 ALLEXTERNALS = NO
1449
1449
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 # in the modules index. If set to NO, only the current project's groups will
1451 # in the modules index. If set to NO, only the current project's groups will
1452 # be listed.
1452 # be listed.
1453
1453
1454 EXTERNAL_GROUPS = YES
1454 EXTERNAL_GROUPS = YES
1455
1455
1456 # The PERL_PATH should be the absolute path and name of the perl script
1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 # interpreter (i.e. the result of `which perl').
1457 # interpreter (i.e. the result of `which perl').
1458
1458
1459 PERL_PATH = /usr/bin/perl
1459 PERL_PATH = /usr/bin/perl
1460
1460
1461 #---------------------------------------------------------------------------
1461 #---------------------------------------------------------------------------
1462 # Configuration options related to the dot tool
1462 # Configuration options related to the dot tool
1463 #---------------------------------------------------------------------------
1463 #---------------------------------------------------------------------------
1464
1464
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 # fallback. It is recommended to install and use dot, since it yields more
1469 # fallback. It is recommended to install and use dot, since it yields more
1470 # powerful graphs.
1470 # powerful graphs.
1471
1471
1472 CLASS_DIAGRAMS = YES
1472 CLASS_DIAGRAMS = YES
1473
1473
1474 # You can define message sequence charts within doxygen comments using the \msc
1474 # You can define message sequence charts within doxygen comments using the \msc
1475 # command. Doxygen will then run the mscgen tool (see
1475 # command. Doxygen will then run the mscgen tool (see
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 # default search path.
1479 # default search path.
1480
1480
1481 MSCGEN_PATH =
1481 MSCGEN_PATH =
1482
1482
1483 # If set to YES, the inheritance and collaboration graphs will hide
1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 # inheritance and usage relations if the target is undocumented
1484 # inheritance and usage relations if the target is undocumented
1485 # or is not a class.
1485 # or is not a class.
1486
1486
1487 HIDE_UNDOC_RELATIONS = YES
1487 HIDE_UNDOC_RELATIONS = YES
1488
1488
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 # have no effect if this option is set to NO (the default)
1492 # have no effect if this option is set to NO (the default)
1493
1493
1494 HAVE_DOT = YES
1494 HAVE_DOT = NO
1495
1495
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 # base this on the number of processors available in the system. You can set it
1498 # base this on the number of processors available in the system. You can set it
1499 # explicitly to a value larger than 0 to get control over the balance
1499 # explicitly to a value larger than 0 to get control over the balance
1500 # between CPU load and processing speed.
1500 # between CPU load and processing speed.
1501
1501
1502 DOT_NUM_THREADS = 0
1502 DOT_NUM_THREADS = 0
1503
1503
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 # directory and reference it in all dot files that doxygen generates. This
1505 # directory and reference it in all dot files that doxygen generates. This
1506 # font does not include all possible unicode characters however, so when you need
1506 # font does not include all possible unicode characters however, so when you need
1507 # these (or just want a differently looking font) you can specify the font name
1507 # these (or just want a differently looking font) you can specify the font name
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 # which can be done by putting it in a standard location or by setting the
1509 # which can be done by putting it in a standard location or by setting the
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 # containing the font.
1511 # containing the font.
1512
1512
1513 DOT_FONTNAME = FreeSans.ttf
1513 DOT_FONTNAME = FreeSans.ttf
1514
1514
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 # The default size is 10pt.
1516 # The default size is 10pt.
1517
1517
1518 DOT_FONTSIZE = 10
1518 DOT_FONTSIZE = 10
1519
1519
1520 # By default doxygen will tell dot to use the output directory to look for the
1520 # By default doxygen will tell dot to use the output directory to look for the
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 # different font using DOT_FONTNAME you can set the path where dot
1522 # different font using DOT_FONTNAME you can set the path where dot
1523 # can find it using this tag.
1523 # can find it using this tag.
1524
1524
1525 DOT_FONTPATH =
1525 DOT_FONTPATH =
1526
1526
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 # will generate a graph for each documented class showing the direct and
1528 # will generate a graph for each documented class showing the direct and
1529 # indirect inheritance relations. Setting this tag to YES will force the
1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 # the CLASS_DIAGRAMS tag to NO.
1530 # the CLASS_DIAGRAMS tag to NO.
1531
1531
1532 CLASS_GRAPH = YES
1532 CLASS_GRAPH = YES
1533
1533
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 # will generate a graph for each documented class showing the direct and
1535 # will generate a graph for each documented class showing the direct and
1536 # indirect implementation dependencies (inheritance, containment, and
1536 # indirect implementation dependencies (inheritance, containment, and
1537 # class references variables) of the class with other documented classes.
1537 # class references variables) of the class with other documented classes.
1538
1538
1539 COLLABORATION_GRAPH = YES
1539 COLLABORATION_GRAPH = YES
1540
1540
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 # will generate a graph for groups, showing the direct groups dependencies
1542 # will generate a graph for groups, showing the direct groups dependencies
1543
1543
1544 GROUP_GRAPHS = YES
1544 GROUP_GRAPHS = YES
1545
1545
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 # Language.
1548 # Language.
1549
1549
1550 UML_LOOK = YES
1550 UML_LOOK = NO
1551
1551
1552 # If set to YES, the inheritance and collaboration graphs will show the
1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 # relations between templates and their instances.
1553 # relations between templates and their instances.
1554
1554
1555 TEMPLATE_RELATIONS = NO
1555 TEMPLATE_RELATIONS = NO
1556
1556
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 # tags are set to YES then doxygen will generate a graph for each documented
1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 # file showing the direct and indirect include dependencies of the file with
1559 # file showing the direct and indirect include dependencies of the file with
1560 # other documented files.
1560 # other documented files.
1561
1561
1562 INCLUDE_GRAPH = YES
1562 INCLUDE_GRAPH = YES
1563
1563
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 # documented header file showing the documented files that directly or
1566 # documented header file showing the documented files that directly or
1567 # indirectly include this file.
1567 # indirectly include this file.
1568
1568
1569 INCLUDED_BY_GRAPH = YES
1569 INCLUDED_BY_GRAPH = YES
1570
1570
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 # doxygen will generate a call dependency graph for every global function
1572 # doxygen will generate a call dependency graph for every global function
1573 # or class method. Note that enabling this option will significantly increase
1573 # or class method. Note that enabling this option will significantly increase
1574 # the time of a run. So in most cases it will be better to enable call graphs
1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 # for selected functions only using the \callgraph command.
1575 # for selected functions only using the \callgraph command.
1576
1576
1577 CALL_GRAPH = NO
1577 CALL_GRAPH = NO
1578
1578
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 # doxygen will generate a caller dependency graph for every global function
1580 # doxygen will generate a caller dependency graph for every global function
1581 # or class method. Note that enabling this option will significantly increase
1581 # or class method. Note that enabling this option will significantly increase
1582 # the time of a run. So in most cases it will be better to enable caller
1582 # the time of a run. So in most cases it will be better to enable caller
1583 # graphs for selected functions only using the \callergraph command.
1583 # graphs for selected functions only using the \callergraph command.
1584
1584
1585 CALLER_GRAPH = NO
1585 CALLER_GRAPH = NO
1586
1586
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 # will graphical hierarchy of all classes instead of a textual one.
1588 # will graphical hierarchy of all classes instead of a textual one.
1589
1589
1590 GRAPHICAL_HIERARCHY = YES
1590 GRAPHICAL_HIERARCHY = YES
1591
1591
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 # then doxygen will show the dependencies a directory has on other directories
1593 # then doxygen will show the dependencies a directory has on other directories
1594 # in a graphical way. The dependency relations are determined by the #include
1594 # in a graphical way. The dependency relations are determined by the #include
1595 # relations between the files in the directories.
1595 # relations between the files in the directories.
1596
1596
1597 DIRECTORY_GRAPH = YES
1597 DIRECTORY_GRAPH = YES
1598
1598
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 # generated by dot. Possible values are png, jpg, or gif
1600 # generated by dot. Possible values are png, jpg, or gif
1601 # If left blank png will be used.
1601 # If left blank png will be used.
1602
1602
1603 DOT_IMAGE_FORMAT = png
1603 DOT_IMAGE_FORMAT = png
1604
1604
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607
1607
1608 DOT_PATH =
1608 DOT_PATH =
1609
1609
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 # contain dot files that are included in the documentation (see the
1611 # contain dot files that are included in the documentation (see the
1612 # \dotfile command).
1612 # \dotfile command).
1613
1613
1614 DOTFILE_DIRS =
1614 DOTFILE_DIRS =
1615
1615
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 # visualized by representing a node as a red box. Note that doxygen if the
1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 # number of direct children of the root node in a graph is already larger than
1620 # number of direct children of the root node in a graph is already larger than
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623
1623
1624 DOT_GRAPH_MAX_NODES = 50
1624 DOT_GRAPH_MAX_NODES = 50
1625
1625
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 # that lay further from the root node will be omitted. Note that setting this
1629 # that lay further from the root node will be omitted. Note that setting this
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 # code bases. Also note that the size of a graph can be further restricted by
1631 # code bases. Also note that the size of a graph can be further restricted by
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633
1633
1634 MAX_DOT_GRAPH_DEPTH = 0
1634 MAX_DOT_GRAPH_DEPTH = 0
1635
1635
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 # background. This is disabled by default, because dot on Windows does not
1637 # background. This is disabled by default, because dot on Windows does not
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 # a graph (i.e. they become hard to read).
1640 # a graph (i.e. they become hard to read).
1641
1641
1642 DOT_TRANSPARENT = NO
1642 DOT_TRANSPARENT = NO
1643
1643
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 # support this, this feature is disabled by default.
1647 # support this, this feature is disabled by default.
1648
1648
1649 DOT_MULTI_TARGETS = NO
1649 DOT_MULTI_TARGETS = NO
1650
1650
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 # generate a legend page explaining the meaning of the various boxes and
1652 # generate a legend page explaining the meaning of the various boxes and
1653 # arrows in the dot generated graphs.
1653 # arrows in the dot generated graphs.
1654
1654
1655 GENERATE_LEGEND = YES
1655 GENERATE_LEGEND = YES
1656
1656
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 # remove the intermediate dot files that are used to generate
1658 # remove the intermediate dot files that are used to generate
1659 # the various graphs.
1659 # the various graphs.
1660
1660
1661 DOT_CLEANUP = YES
1661 DOT_CLEANUP = YES
1 NO CONTENT: modified file, binary diff hidden
NO CONTENT: modified file, binary diff hidden
@@ -1,1 +1,1
1 load bin/BenchFIFO.bin
1 load bin/hello.bin
@@ -1,76 +1,77
1 SCRIPTSDIR=scripts/
1 SCRIPTSDIR=scripts/
2 LIBDIR=lib/
2 LIBDIR=lib/
3 BOARDSDIR=boards/
3 BOARDSDIR=boards/
4 DESIGNSDIR=designs/
4 DESIGNSDIR=designs/
5
5
6
6
7
7
8 .PHONY:doc
8 .PHONY:doc
9
9
10
10
11 all: help
11 all: help
12
12
13 help:
13 help:
14 @echo
14 @echo
15 @echo " batch targets:"
15 @echo " batch targets:"
16 @echo
16 @echo
17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
18 @echo " make dist : create a tar file for using into an other computer"
18 @echo " make dist : create a tar file for using into an other computer"
19 @echo " make Patched-dist : create a tar file for with a patched grlib for using"
19 @echo " make Patched-dist : create a tar file for with a patched grlib for using"
20 @echo " into an other computer"
20 @echo " into an other computer"
21 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
21 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
22 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
22 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
23 @echo " make doc : make documentation for VHDL IPs"
23 @echo " make doc : make documentation for VHDL IPs"
24 @echo " make pdf : make pdf documentation for VHDL IPs"
24 @echo " make pdf : make pdf documentation for VHDL IPs"
25 @echo " make C-libs : make C drivers for APB devices"
25 @echo " make C-libs : make C drivers for APB devices"
26 @echo " binary files availiable on VHD_Lib/LPP_DRIVERS/lib ./includes"
26 @echo " binary files availiable on VHD_Lib/LPP_DRIVERS/lib ./includes"
27 @echo
27 @echo
28
28
29
29
30
30
31 allGPL:
31 allGPL:
32 @echo "Scanning VHDL files ..."
32 @echo "Scanning VHDL files ..."
33 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R vhd lib
33 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R vhd lib
34 @echo "Scanning C files ..."
34 @echo "Scanning C files ..."
35 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R c LPP_drivers
35 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R c LPP_drivers
36 @echo "Scanning H files ..."
36 @echo "Scanning H files ..."
37 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers
37 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers
38
38
39 init: C-libs
39 init: C-libs
40 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
40 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
41 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
41 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
42
42
43 C-libs:APB_devs
43 C-libs:APB_devs
44 make -C LPP_drivers
44 make -C LPP_drivers
45
45
46
46
47 APB_devs:
47 APB_devs:
48 sh $(SCRIPTSDIR)/APB_DEV_UPDATER.sh
48 sh $(SCRIPTSDIR)/APB_DEV_UPDATER.sh
49
49
50
50
51 Patch-GRLIB: init doc
51 Patch-GRLIB: init doc
52 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
52 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
53
53
54
54
55 dist: init
55 dist: init
56 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
56 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
57
57
58
58
59 Patched-dist: Patch-GRLIB
59 Patched-dist: Patch-GRLIB
60 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
60 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
61
61
62
62
63 doc:
63 doc:
64 mkdir -p doc/html/
64 cp doc/ressources/*.jpg doc/html/
65 cp doc/ressources/*.jpg doc/html/
65 cp doc/ressources/doxygen.css doc/html/
66 cp doc/ressources/doxygen.css doc/html/
66 make -C lib/lpp doc
67 make -C lib/lpp doc
67 make -C LPP_drivers doc
68 make -C LPP_drivers doc
68
69
69
70
70 pdf: doc
71 pdf: doc
71 sh $(SCRIPTSDIR)/doc.sh
72 sh $(SCRIPTSDIR)/doc.sh
72
73
73
74
74
75
75
76
76
77
@@ -1,180 +1,180
1 -----------------------------------------------------------------------------
1 -----------------------------------------------------------------------------
2 -- LEON3 Demonstration design test bench configuration
2 -- LEON3 Demonstration design test bench configuration
3 -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
3 -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4 --
4 --
5 -- This program is free software; you can redistribute it and/or modify
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
8 -- (at your option) any later version.
9 --
9 --
10 -- This program is distributed in the hope that it will be useful,
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
13 -- GNU General Public License for more details.
14 ------------------------------------------------------------------------------
14 ------------------------------------------------------------------------------
15
15
16
16
17 library techmap;
17 library techmap;
18 use techmap.gencomp.all;
18 use techmap.gencomp.all;
19
19
20 package config is
20 package config is
21
21
22
22
23 -- Technology and synthesis options
23 -- Technology and synthesis options
24 constant CFG_FABTECH : integer := apa3;
24 constant CFG_FABTECH : integer := apa3;
25 constant CFG_MEMTECH : integer := apa3;
25 constant CFG_MEMTECH : integer := apa3;
26 constant CFG_PADTECH : integer := inferred;
26 constant CFG_PADTECH : integer := inferred;
27 constant CFG_NOASYNC : integer := 0;
27 constant CFG_NOASYNC : integer := 0;
28 constant CFG_SCAN : integer := 0;
28 constant CFG_SCAN : integer := 0;
29
29
30 -- Clock generator
30 -- Clock generator
31 constant CFG_CLKTECH : integer := inferred;
31 constant CFG_CLKTECH : integer := inferred;
32 constant CFG_CLKMUL : integer := (45);
32 constant CFG_CLKMUL : integer := (5);
33 constant CFG_CLKDIV : integer := (9);
33 constant CFG_CLKDIV : integer := (10);
34 constant CFG_OCLKDIV : integer := (8);
34 constant CFG_OCLKDIV : integer := (1);
35 constant CFG_PCIDLL : integer := 0;
35 constant CFG_PCIDLL : integer := 0;
36 constant CFG_PCISYSCLK: integer := 0;
36 constant CFG_PCISYSCLK: integer := 0;
37 constant CFG_CLK_NOFB : integer := 0;
37 constant CFG_CLK_NOFB : integer := 0;
38
38
39 -- LEON3 processor core
39 -- LEON3 processor core
40 constant CFG_LEON3 : integer := 1;
40 constant CFG_LEON3 : integer := 1;
41 constant CFG_NCPU : integer := (1);
41 constant CFG_NCPU : integer := (1);
42 constant CFG_NWIN : integer := (7);
42 constant CFG_NWIN : integer := (7);
43 constant CFG_V8 : integer := 0;
43 constant CFG_V8 : integer := 0;
44 constant CFG_MAC : integer := 0;
44 constant CFG_MAC : integer := 0;
45 constant CFG_SVT : integer := 0;
45 constant CFG_SVT : integer := 0;
46 constant CFG_RSTADDR : integer := 16#00000#;
46 constant CFG_RSTADDR : integer := 16#00000#;
47 constant CFG_LDDEL : integer := (1);
47 constant CFG_LDDEL : integer := (1);
48 constant CFG_NWP : integer := (0);
48 constant CFG_NWP : integer := (0);
49 constant CFG_PWD : integer := 1*2;
49 constant CFG_PWD : integer := 1*2;
50 constant CFG_FPU : integer := 0 + 16*0;
50 constant CFG_FPU : integer := 0 + 16*0;
51 constant CFG_GRFPUSH : integer := 0;
51 constant CFG_GRFPUSH : integer := 0;
52 constant CFG_ICEN : integer := 1;
52 constant CFG_ICEN : integer := 1;
53 constant CFG_ISETS : integer := 1;
53 constant CFG_ISETS : integer := 1;
54 constant CFG_ISETSZ : integer := 4;
54 constant CFG_ISETSZ : integer := 4;
55 constant CFG_ILINE : integer := 4;
55 constant CFG_ILINE : integer := 4;
56 constant CFG_IREPL : integer := 0;
56 constant CFG_IREPL : integer := 0;
57 constant CFG_ILOCK : integer := 0;
57 constant CFG_ILOCK : integer := 0;
58 constant CFG_ILRAMEN : integer := 0;
58 constant CFG_ILRAMEN : integer := 0;
59 constant CFG_ILRAMADDR: integer := 16#8E#;
59 constant CFG_ILRAMADDR: integer := 16#8E#;
60 constant CFG_ILRAMSZ : integer := 1;
60 constant CFG_ILRAMSZ : integer := 1;
61 constant CFG_DCEN : integer := 1;
61 constant CFG_DCEN : integer := 1;
62 constant CFG_DSETS : integer := 1;
62 constant CFG_DSETS : integer := 1;
63 constant CFG_DSETSZ : integer := 4;
63 constant CFG_DSETSZ : integer := 4;
64 constant CFG_DLINE : integer := 4;
64 constant CFG_DLINE : integer := 4;
65 constant CFG_DREPL : integer := 0;
65 constant CFG_DREPL : integer := 0;
66 constant CFG_DLOCK : integer := 0;
66 constant CFG_DLOCK : integer := 0;
67 constant CFG_DSNOOP : integer := 0 + 0 + 4*0;
67 constant CFG_DSNOOP : integer := 0 + 0 + 4*0;
68 constant CFG_DFIXED : integer := 16#00F3#;
68 constant CFG_DFIXED : integer := 16#00F3#;
69 constant CFG_DLRAMEN : integer := 0;
69 constant CFG_DLRAMEN : integer := 0;
70 constant CFG_DLRAMADDR: integer := 16#8F#;
70 constant CFG_DLRAMADDR: integer := 16#8F#;
71 constant CFG_DLRAMSZ : integer := 1;
71 constant CFG_DLRAMSZ : integer := 1;
72 constant CFG_MMUEN : integer := 0;
72 constant CFG_MMUEN : integer := 0;
73 constant CFG_ITLBNUM : integer := 2;
73 constant CFG_ITLBNUM : integer := 2;
74 constant CFG_DTLBNUM : integer := 2;
74 constant CFG_DTLBNUM : integer := 2;
75 constant CFG_TLB_TYPE : integer := 1 + 0*2;
75 constant CFG_TLB_TYPE : integer := 1 + 0*2;
76 constant CFG_TLB_REP : integer := 1;
76 constant CFG_TLB_REP : integer := 1;
77 constant CFG_DSU : integer := 1;
77 constant CFG_DSU : integer := 1;
78 constant CFG_ITBSZ : integer := 0;
78 constant CFG_ITBSZ : integer := 0;
79 constant CFG_ATBSZ : integer := 0;
79 constant CFG_ATBSZ : integer := 0;
80 constant CFG_LEON3FT_EN : integer := 0;
80 constant CFG_LEON3FT_EN : integer := 0;
81 constant CFG_IUFT_EN : integer := 0;
81 constant CFG_IUFT_EN : integer := 0;
82 constant CFG_FPUFT_EN : integer := 0;
82 constant CFG_FPUFT_EN : integer := 0;
83 constant CFG_RF_ERRINJ : integer := 0;
83 constant CFG_RF_ERRINJ : integer := 0;
84 constant CFG_CACHE_FT_EN : integer := 0;
84 constant CFG_CACHE_FT_EN : integer := 0;
85 constant CFG_CACHE_ERRINJ : integer := 0;
85 constant CFG_CACHE_ERRINJ : integer := 0;
86 constant CFG_LEON3_NETLIST: integer := 0;
86 constant CFG_LEON3_NETLIST: integer := 0;
87 constant CFG_DISAS : integer := 0 + 0;
87 constant CFG_DISAS : integer := 0 + 0;
88 constant CFG_PCLOW : integer := 2;
88 constant CFG_PCLOW : integer := 2;
89
89
90 -- AMBA settings
90 -- AMBA settings
91 constant CFG_DEFMST : integer := (0);
91 constant CFG_DEFMST : integer := (0);
92 constant CFG_RROBIN : integer := 1;
92 constant CFG_RROBIN : integer := 1;
93 constant CFG_SPLIT : integer := 0;
93 constant CFG_SPLIT : integer := 0;
94 constant CFG_AHBIO : integer := 16#FFF#;
94 constant CFG_AHBIO : integer := 16#FFF#;
95 constant CFG_APBADDR : integer := 16#800#;
95 constant CFG_APBADDR : integer := 16#800#;
96 constant CFG_AHB_MON : integer := 0;
96 constant CFG_AHB_MON : integer := 0;
97 constant CFG_AHB_MONERR : integer := 0;
97 constant CFG_AHB_MONERR : integer := 0;
98 constant CFG_AHB_MONWAR : integer := 0;
98 constant CFG_AHB_MONWAR : integer := 0;
99
99
100 -- DSU UART
100 -- DSU UART
101 constant CFG_AHB_UART : integer := 1;
101 constant CFG_AHB_UART : integer := 1;
102
102
103 -- JTAG based DSU interface
103 -- JTAG based DSU interface
104 constant CFG_AHB_JTAG : integer := 0;
104 constant CFG_AHB_JTAG : integer := 0;
105
105
106 -- Ethernet DSU
106 -- Ethernet DSU
107 constant CFG_DSU_ETH : integer := 0 + 0;
107 constant CFG_DSU_ETH : integer := 0 + 0;
108 constant CFG_ETH_BUF : integer := 1;
108 constant CFG_ETH_BUF : integer := 1;
109 constant CFG_ETH_IPM : integer := 16#C0A8#;
109 constant CFG_ETH_IPM : integer := 16#C0A8#;
110 constant CFG_ETH_IPL : integer := 16#0033#;
110 constant CFG_ETH_IPL : integer := 16#0033#;
111 constant CFG_ETH_ENM : integer := 16#00007A#;
111 constant CFG_ETH_ENM : integer := 16#00007A#;
112 constant CFG_ETH_ENL : integer := 16#CC0001#;
112 constant CFG_ETH_ENL : integer := 16#CC0001#;
113
113
114 -- LEON2 memory controller
114 -- LEON2 memory controller
115 constant CFG_MCTRL_LEON2 : integer := 1;
115 constant CFG_MCTRL_LEON2 : integer := 1;
116 constant CFG_MCTRL_RAM8BIT : integer := 0;
116 constant CFG_MCTRL_RAM8BIT : integer := 0;
117 constant CFG_MCTRL_RAM16BIT : integer := 0;
117 constant CFG_MCTRL_RAM16BIT : integer := 0;
118 constant CFG_MCTRL_5CS : integer := 0;
118 constant CFG_MCTRL_5CS : integer := 0;
119 constant CFG_MCTRL_SDEN : integer := 0;
119 constant CFG_MCTRL_SDEN : integer := 0;
120 constant CFG_MCTRL_SEPBUS : integer := 0;
120 constant CFG_MCTRL_SEPBUS : integer := 0;
121 constant CFG_MCTRL_INVCLK : integer := 0;
121 constant CFG_MCTRL_INVCLK : integer := 0;
122 constant CFG_MCTRL_SD64 : integer := 0;
122 constant CFG_MCTRL_SD64 : integer := 0;
123 constant CFG_MCTRL_PAGE : integer := 0 + 0;
123 constant CFG_MCTRL_PAGE : integer := 0 + 0;
124
124
125 -- SSRAM controller
125 -- SSRAM controller
126 constant CFG_SSCTRL : integer := 0;
126 constant CFG_SSCTRL : integer := 0;
127 constant CFG_SSCTRLP16 : integer := 0;
127 constant CFG_SSCTRLP16 : integer := 0;
128
128
129 -- AHB ROM
129 -- AHB ROM
130 constant CFG_AHBROMEN : integer := 0;
130 constant CFG_AHBROMEN : integer := 0;
131 constant CFG_AHBROPIP : integer := 0;
131 constant CFG_AHBROPIP : integer := 0;
132 constant CFG_AHBRODDR : integer := 16#000#;
132 constant CFG_AHBRODDR : integer := 16#000#;
133 constant CFG_ROMADDR : integer := 16#000#;
133 constant CFG_ROMADDR : integer := 16#000#;
134 constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
134 constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
135
135
136 -- AHB RAM
136 -- AHB RAM
137 constant CFG_AHBRAMEN : integer := 0;
137 constant CFG_AHBRAMEN : integer := 0;
138 constant CFG_AHBRSZ : integer := 1;
138 constant CFG_AHBRSZ : integer := 1;
139 constant CFG_AHBRADDR : integer := 16#A00#;
139 constant CFG_AHBRADDR : integer := 16#A00#;
140
140
141 -- Gaisler Ethernet core
141 -- Gaisler Ethernet core
142 constant CFG_GRETH : integer := 0;
142 constant CFG_GRETH : integer := 0;
143 constant CFG_GRETH1G : integer := 0;
143 constant CFG_GRETH1G : integer := 0;
144 constant CFG_ETH_FIFO : integer := 8;
144 constant CFG_ETH_FIFO : integer := 8;
145
145
146 -- CAN 2.0 interface
146 -- CAN 2.0 interface
147 constant CFG_CAN : integer := 0;
147 constant CFG_CAN : integer := 0;
148 constant CFG_CANIO : integer := 16#0#;
148 constant CFG_CANIO : integer := 16#0#;
149 constant CFG_CANIRQ : integer := 0;
149 constant CFG_CANIRQ : integer := 0;
150 constant CFG_CANLOOP : integer := 0;
150 constant CFG_CANLOOP : integer := 0;
151 constant CFG_CAN_SYNCRST : integer := 0;
151 constant CFG_CAN_SYNCRST : integer := 0;
152 constant CFG_CANFT : integer := 0;
152 constant CFG_CANFT : integer := 0;
153
153
154 -- UART 1
154 -- UART 1
155 constant CFG_UART1_ENABLE : integer := 1;
155 constant CFG_UART1_ENABLE : integer := 1;
156 constant CFG_UART1_FIFO : integer := 1;
156 constant CFG_UART1_FIFO : integer := 1;
157
157
158 -- LEON3 interrupt controller
158 -- LEON3 interrupt controller
159 constant CFG_IRQ3_ENABLE : integer := 1;
159 constant CFG_IRQ3_ENABLE : integer := 1;
160
160
161 -- Modular timer
161 -- Modular timer
162 constant CFG_GPT_ENABLE : integer := 1;
162 constant CFG_GPT_ENABLE : integer := 1;
163 constant CFG_GPT_NTIM : integer := (2);
163 constant CFG_GPT_NTIM : integer := (2);
164 constant CFG_GPT_SW : integer := (8);
164 constant CFG_GPT_SW : integer := (8);
165 constant CFG_GPT_TW : integer := (32);
165 constant CFG_GPT_TW : integer := (32);
166 constant CFG_GPT_IRQ : integer := (8);
166 constant CFG_GPT_IRQ : integer := (8);
167 constant CFG_GPT_SEPIRQ : integer := 1;
167 constant CFG_GPT_SEPIRQ : integer := 1;
168 constant CFG_GPT_WDOGEN : integer := 0;
168 constant CFG_GPT_WDOGEN : integer := 0;
169 constant CFG_GPT_WDOG : integer := 16#0#;
169 constant CFG_GPT_WDOG : integer := 16#0#;
170
170
171 -- GPIO port
171 -- GPIO port
172 constant CFG_GRGPIO_ENABLE : integer := 1;
172 constant CFG_GRGPIO_ENABLE : integer := 1;
173 constant CFG_GRGPIO_IMASK : integer := 16#0000#;
173 constant CFG_GRGPIO_IMASK : integer := 16#0000#;
174 constant CFG_GRGPIO_WIDTH : integer := (7);
174 constant CFG_GRGPIO_WIDTH : integer := (7);
175
175
176 -- GRLIB debugging
176 -- GRLIB debugging
177 constant CFG_DUART : integer := 0;
177 constant CFG_DUART : integer := 0;
178
178
179
179
180 end; No newline at end of file
180 end;
@@ -1,294 +1,326
1 ----------------------------------------------------------------------------------
1 -----------------------------------------------------------------------------
2 -- Company:
2 -- LEON3 Demonstration design
3 -- Engineer:
3 -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4 --
4 --
5 -- Create Date: 17:16:12 03/29/2011
5 -- This program is free software; you can redistribute it and/or modify
6 -- Design Name:
6 -- it under the terms of the GNU General Public License as published by
7 -- Module Name: top - Behavioral
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- Project Name:
8 -- (at your option) any later version.
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
9 --
13 -- Dependencies:
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
14 --
15 -- Revision:
15 -- You should have received a copy of the GNU General Public License
16 -- Revision 0.01 - File Created
16 -- along with this program; if not, write to the Free Software
17 -- Additional Comments:
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 --
18 ------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
19
20
20 library ieee;
21 library ieee;
21 use ieee.std_logic_1164.all;
22 use ieee.std_logic_1164.all;
22 library grlib;
23 library grlib;
23 use grlib.amba.all;
24 use grlib.amba.all;
24 use grlib.stdlib.all;
25 use grlib.stdlib.all;
25 library techmap;
26 library techmap;
26 use techmap.gencomp.all;
27 use techmap.gencomp.all;
27 library gaisler;
28 library gaisler;
28 use gaisler.memctrl.all;
29 use gaisler.memctrl.all;
29 use gaisler.leon3.all;
30 use gaisler.leon3.all;
30 use gaisler.uart.all;
31 use gaisler.uart.all;
31 use gaisler.misc.all;
32 use gaisler.misc.all;
32 library esa;
33 library esa;
33 use esa.memoryctrl.all;
34 use esa.memoryctrl.all;
34 use work.config.all;
35 use work.config.all;
35 library lpp;
36 library lpp;
36 use lpp.lpp_amba.all;
37 use lpp.lpp_amba.all;
37 use lpp.lpp_uart.all;
38 use lpp.lpp_memory.all;
38 use lpp.lpp_memory.all;
39 use lpp.general_purpose.all;
39 --use lpp.lpp_uart.all;
40 --use lpp.lpp_matrix.all;
41 --use lpp.lpp_usb.all;
42
43 entity leon3mp is
44 generic (
45 fabtech : integer := CFG_FABTECH;
46 memtech : integer := CFG_MEMTECH;
47 padtech : integer := CFG_PADTECH;
48 clktech : integer := CFG_CLKTECH;
49 disas : integer := CFG_DISAS; -- Enable disassembly to console
50 dbguart : integer := CFG_DUART; -- Print UART on console
51 pclow : integer := CFG_PCLOW
52 );
53 port (
54 clk50MHz : in std_ulogic;
55 reset : in std_ulogic;
56 ramclk : out std_logic;
57
58 ahbrxd : in std_ulogic; -- DSU rx data
59 ahbtxd : out std_ulogic; -- DSU tx data
60 dsubre : in std_ulogic;
61 dsuact : out std_ulogic;
62 urxd1 : in std_ulogic; -- UART1 rx data
63 utxd1 : out std_ulogic; -- UART1 tx data
64 errorn : out std_ulogic;
65
66 address : out std_logic_vector(18 downto 0);
67 data : inout std_logic_vector(31 downto 0);
40
68
41 entity miniamba is
69 nBWa : out std_logic;
42 generic (
70 nBWb : out std_logic;
43 fabtech : integer := CFG_FABTECH;
71 nBWc : out std_logic;
44 memtech : integer := CFG_MEMTECH;
72 nBWd : out std_logic;
45 padtech : integer := CFG_PADTECH;
73 nBWE : out std_logic;
46 clktech : integer := CFG_CLKTECH;
74 nADSC : out std_logic;
47 disas : integer := CFG_DISAS; -- Enable disassembly to console
75 nADSP : out std_logic;
48 dbguart : integer := CFG_DUART; -- Print UART on console
76 nADV : out std_logic;
49 pclow : integer := CFG_PCLOW);
77 nGW : out std_logic;
50 Port (
78 nCE1 : out std_logic;
51 clk50MHz : in STD_LOGIC;
79 CE2 : out std_logic;
52 reset : in STD_LOGIC;
80 nCE3 : out std_logic;
53 led : out std_logic_vector(1 downto 0);
81 nOE : out std_logic;
54 errorn : out std_ulogic;
82 MODE : out std_logic;
55 dsubre : in std_ulogic;
83 SSRAM_CLK : out std_logic;
56 dsuact : out std_ulogic;
84 ZZ : out std_logic;
57 ahbrxd : in std_ulogic;
85 led : out std_logic_vector(1 downto 0)
58 ahbtxd : out std_ulogic;
86 );
59 urxd1 : in std_ulogic;
87 end;
60 utxd1 : out std_ulogic;
61 data : inout std_logic_vector(31 downto 0);
62 address : out std_logic_vector(18 downto 0);
63 nBWa : out std_logic;
64 nBWb : out std_logic;
65 nBWc : out std_logic;
66 nBWd : out std_logic;
67 nBWE : out std_logic;
68 nADSC : out std_logic;
69 nADSP : out std_logic;
70 nADV : out std_logic;
71 nGW : out std_logic;
72 nCE1 : out std_logic;
73 CE2 : out std_logic;
74 nCE3 : out std_logic;
75 nOE : out std_logic;
76 MODE : out std_logic;
77 SSRAM_CLK : out std_logic;
78 ZZ : out std_logic
79 );
80 end miniamba;
81
88
82 architecture Behavioral of miniamba is
89 architecture Behavioral of leon3mp is
90
91 constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+
92 CFG_GRETH+CFG_AHB_JTAG;
93 constant maxahbm : integer := maxahbmsp;
83
94
95 --Clk & Rst gοΏ½nοΏ½
96 signal vcc : std_logic_vector(4 downto 0);
97 signal gnd : std_logic_vector(4 downto 0);
98 signal resetnl : std_ulogic;
99 signal clk2x : std_ulogic;
100 signal lclk : std_ulogic;
101 signal lclk2x : std_ulogic;
102 signal clkm : std_ulogic;
103 signal rstn : std_ulogic;
104 signal rstraw : std_ulogic;
105 signal pciclk : std_ulogic;
106 signal sdclkl : std_ulogic;
107 signal cgi : clkgen_in_type;
108 signal cgo : clkgen_out_type;
84 --- AHB / APB
109 --- AHB / APB
85 signal apbi : apb_slv_in_type;
110 signal apbi : apb_slv_in_type;
86 signal apbo : apb_slv_out_vector := (others => apb_none);
111 signal apbo : apb_slv_out_vector := (others => apb_none);
87 signal ahbsi : ahb_slv_in_type;
112 signal ahbsi : ahb_slv_in_type;
88 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
113 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
89 signal ahbmi : ahb_mst_in_type;
114 signal ahbmi : ahb_mst_in_type;
90 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
115 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
91 -- AHBUART
116 --UART
92 signal ahbuarti: uart_in_type;
117 signal ahbuarti : uart_in_type;
93 signal ahbuarto: uart_out_type;
118 signal ahbuarto : uart_out_type;
94 signal apbuarti: uart_in_type;
119 signal apbuarti : uart_in_type;
95 signal apbuarto: uart_out_type;
120 signal apbuarto : uart_out_type;
96 signal rxd2 : std_ulogic;
121 --MEM CTRLR
97 signal rxd1 : std_ulogic;
122 signal memi : memory_in_type;
98 signal txd1 : std_ulogic;
123 signal memo : memory_out_type;
99
124 signal wpo : wprot_out_type;
100 signal vcc : std_logic_vector(4 downto 0);
125 signal sdo : sdram_out_type;
101 signal gnd : std_logic_vector(4 downto 0);
126 --IRQ
102
127 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
103 -- MEM CTRLR
128 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
104 signal memi : memory_in_type;
129 --Timer
105 signal memo : memory_out_type;
130 signal gpti : gptimer_in_type;
106 signal sdo : sdram_out_type;
131 signal gpto : gptimer_out_type;
107 signal sdo3 : sdctrl_out_type;
132 --GPIO
108 signal wpo : wprot_out_type;
133 signal gpioi : gpio_in_type;
134 signal gpioo : gpio_out_type;
135 --DSU
136 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
137 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
138 signal dsui : dsu_in_type;
139 signal dsuo : dsu_out_type;
140 ----------------------------------------------------------------------
141 --- AJOUT TEST ------------------------Signaux----------------------
142 ----------------------------------------------------------------------
143 -- TEST USB
144 --signal USB_Read : std_logic;
145 --signal USB_Write : std_logic;
109
146
110 signal clkm : std_ulogic;
147 -- MATRICE SPECTRALE
111 signal resetnl : std_ulogic;
148 --signal Matrix_Write : std_logic;
112 signal sdclkl : std_ulogic;
149 --signal Matrix_Read : std_logic_vector(1 downto 0);
113 signal pciclk : std_ulogic;
150 --signal Matrix_Full : std_logic_vector(1 downto 0);
114 signal lclk : std_ulogic;
151 --signal Matrix_Empty : std_logic_vector(1 downto 0);
115 signal rstn : std_ulogic;
152 --signal Matrix_Data1 : std_logic_vector(15 downto 0);
116 signal clk2x : std_ulogic;
153 --signal Matrix_Data2 : std_logic_vector(15 downto 0);
117 signal rstraw : std_logic;
154 --signal Matrix_Result : std_logic_vector(31 downto 0);
118 signal rstneg : std_logic;
119 signal lock : std_logic;
120 signal cgi : clkgen_in_type;
121 signal cgo : clkgen_out_type;
122
155
123 -- LEON3
156 ---------------------------------------------------------------------
124 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
157 constant IOAEN : integer := CFG_CAN;
125 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
158 constant boardfreq : integer := 50000;
126 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
127 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
128
129 signal dsui : dsu_in_type;
130 signal dsuo : dsu_out_type;
131 signal dui : uart_in_type;
132 signal duo : uart_out_type;
133
134
135 constant boardfreq : integer := 50000; -- input frequency in KHz
136
159
137 begin
160 begin
138
161
139 ----------------------------------------------------------------------
162 ----------------------------------------------------------------------
140 --- Reset and Clock generation -------------------------------------
163 --- Reset and Clock generation -------------------------------------
141 ----------------------------------------------------------------------
164 ----------------------------------------------------------------------
142
165
143 -- vcc <= (others => '1'); gnd <= (others => '0');
144 -- cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
145 -- rstneg <= reset;
146 --
147 -- rst0 : rstgen port map (rstneg, clkm, '1', rstn, rstraw);
148 -- lock <= cgo.clklock;
149 --
150 -- clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
151 ----
152 ---- clkgen0 : clkgen -- clock generator MUL 4, DIV 5
153 ---- generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
154 ---- port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
155 --
156 --process(lclk)
157 --begin
158 -- if lclk'event and lclk = '1' then
159 -- clkm <= not clkm;
160 -- end if;
161 --end process;
162 vcc <= (others => '1'); gnd <= (others => '0');
166 vcc <= (others => '1'); gnd <= (others => '0');
163 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
167 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
168
169 rst0 : rstgen port map (reset, clkm, cgo.clklock, rstn, rstraw);
164
170
165 clk_pad : inpad generic map (tech => 0) port map (clk50MHz, lclk);
171
172 clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk2x);
166
173
167 clkgen0 : clkgen -- clock generator
174 clkgen0 : clkgen -- clock generator
168 generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
175 generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
169 CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
176 CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
170 port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo);
177 port map (lclk, lclk, clkm, open, clk2x, sdclkl, pciclk, cgi, cgo);
171
178
172 resetn_pad : inpad generic map (tech => padtech) port map (reset, resetnl);
179 ramclk <= clkm;
173 rst0 : rstgen -- reset generator
180 process(lclk2x)
174 port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
181 begin
175 --led(5) <= cgo.clklock;
182 if lclk2x'event and lclk2x = '1' then
176
183 lclk <= not lclk;
177
184 end if;
178 --------------------------------------
185 end process;
179 --- CLK_DIVIDER ----------------------
180 --------------------------------------
181 clk_divider0 : Clk_divider
182 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
183 Port map( clkm, rstn, led(1));
184
185 -------------------------------
186 --- AHB CONTROLLER ------------
187 -------------------------------
188 ahb0 : ahbctrl -- AHB arbiter/multiplexer
189 generic map (defmast => 0, --AHB_UART default master
190 split => CFG_SPLIT,
191 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
192 nahbm => 3,
193 nahbs => 2)
194 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
195
196
197 -------------------------------
198 --- MEMORY CONTROLLER ---------
199 -------------------------------
200 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
201 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
202
203
204 bdr : for i in 0 to 3 generate
205 data_pad : iopadv generic map (tech => padtech, width => 8)
206 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
207 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
208 end generate;
209
210
211
212 addr_pad : outpadv generic map (width => 19, tech => padtech)
213 port map (address, memo.address(18 downto 0));
214
215
216
217 SSRAM_0:entity ssram_plugin
218 generic map (tech => padtech)
219 port map
220 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
221
186
222 ----------------------------------------------------------------------
187 ----------------------------------------------------------------------
223 --- LEON3 processor and DSU -----------------------------------------
188 --- LEON3 processor / DSU / IRQ ------------------------------------
224 ----------------------------------------------------------------------
189 ----------------------------------------------------------------------
225
190
226 l3 : if CFG_LEON3 = 1 generate
191 l3 : if CFG_LEON3 = 1 generate
227 cpu : for i in 0 to CFG_NCPU-1 generate
192 cpu : for i in 0 to CFG_NCPU-1 generate
228 u0 : leon3s -- LEON3 processor
193 u0 : leon3s -- LEON3 processor
229 generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
194 generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
230 0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
195 0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
231 CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
196 CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
232 CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
197 CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
233 CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
198 CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
234 CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
199 CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
235 port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
200 port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
236 irqi(i), irqo(i), dbgi(i), dbgo(i));
201 irqi(i), irqo(i), dbgi(i), dbgo(i));
237 end generate;
202 end generate;
238 errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
203 errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
239
204
240 dsugen : if CFG_DSU = 1 generate
205 dsugen : if CFG_DSU = 1 generate
241 dsu0 : dsu3 -- LEON3 Debug Support Unit
206 dsu0 : dsu3 -- LEON3 Debug Support Unit
242 generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
207 generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
243 ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
208 ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
244 port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
209 port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
245 -- dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
210 -- dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
246 dsui.enable <= '1';
211 dsui.enable <= '1';
247 dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
212 dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
248 dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
213 dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
249 end generate;
214 end generate;
250 end generate;
215 end generate;
251
216
252 nodsu : if CFG_DSU = 0 generate
217 nodsu : if CFG_DSU = 0 generate
253 ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
218 ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
254 end generate;
219 end generate;
255 nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
220
221 irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
222 irqctrl0 : irqmp -- interrupt controller
223 generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
224 port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
225 end generate;
226 irq3 : if CFG_IRQ3_ENABLE = 0 generate
227 x : for i in 0 to CFG_NCPU-1 generate
228 irqi(i).irl <= "0000";
229 end generate;
230 apbo(2) <= apb_none;
231 end generate;
232
233 ----------------------------------------------------------------------
234 --- Memory controllers ---------------------------------------------
235 ----------------------------------------------------------------------
236
237 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
238 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
239
240 memi.brdyn <= '1'; memi.bexcn <= '1';
241 memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
242
243 bdr : for i in 0 to 3 generate
244 data_pad : iopadv generic map (tech => padtech, width => 8)
245 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
246 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
247 end generate;
256
248
257
249
258 -------------------------------
250 addr_pad : outpadv generic map (width => 19, tech => padtech)
259 --- AHBUART -------------------
251 port map (address, memo.address(20 downto 2));
260 -------------------------------
252
261 dcom0 : ahbuart -- AMBA AHB Serial Debug Interface
253
262 generic map (hindex => 1, pindex => 2, paddr => 2)
254 SSRAM_0:entity ssram_plugin
263 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(2), ahbmi, ahbmo(1));
255 generic map (tech => padtech)
264 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, rxd2);
256 port map
265 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
257 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
266 ahbuarti.rxd <= rxd2;
258
259 ----------------------------------------------------------------------
260 --- AHB CONTROLLER -------------------------------------------------
261 ----------------------------------------------------------------------
262
263 ahb0 : ahbctrl -- AHB arbiter/multiplexer
264 generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
265 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
266 ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
267 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
267
268
268 ----------------------------------------------------------------------
269 ----------------------------------------------------------------------
269 --- APB Bridge and various periherals --------------------------------
270 --- AHB UART -------------------------------------------------------
270 ----------------------------------------------------------------------
271 ----------------------------------------------------------------------
271 apb0 : apbctrl -- AHB/APB bridge
272 dcomgen : if CFG_AHB_UART = 1 generate
272 generic map (hindex => 3, haddr => CFG_APBADDR)
273 dcom0: ahbuart -- Debug UART
273 port map (rstn, clkm, ahbsi, ahbso(3), apbi, apbo);
274 generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
275 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
276 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, ahbuarti.rxd);
277 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
278 -- led(0) <= not ahbuarti.rxd; led(1) <= not ahbuarto.txd;
279 end generate;
280 nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
281
282 ----------------------------------------------------------------------
283 --- APB Bridge -----------------------------------------------------
284 ----------------------------------------------------------------------
274
285
275 uart1 : APB_UART
286 apb0 : apbctrl -- AHB/APB bridge
276 generic map(
287 generic map (hindex => 1, haddr => CFG_APBADDR)
277 pindex => 1,
288 port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
278 paddr => 1)
289
279 port map(
290 ----------------------------------------------------------------------
280 clk => clkm, --! Horloge du composant
291 --- GPT Timer ------------------------------------------------------
281 rst => rstn, --! Reset general du composant
292 ----------------------------------------------------------------------
282 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
293
283 apbo => apbo(1), --! Registre de gestion des sorties du bus
294 gpt : if CFG_GPT_ENABLE /= 0 generate
284 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
295 timer0 : gptimer -- timer unit
285 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
296 generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
286 );
297 sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
298 nbits => CFG_GPT_TW)
299 port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
300 gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
301 -- led(4) <= gpto.wdog;
302 end generate;
303 notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
287
304
288
305
289 ----------------------------------
306 ----------------------------------------------------------------------
290 --- LED --------------------------
307 --- APB UART -------------------------------------------------------
291 ----------------------------------
308 ----------------------------------------------------------------------
292 led(0) <= not rxd1;
309
310 ua1 : if CFG_UART1_ENABLE /= 0 generate
311 uart1 : apbuart -- UART 1
312 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
313 fifosize => CFG_UART1_FIFO)
314 port map (rstn, clkm, apbi, apbo(1), ahbuarti, apbuarto);
315 apbuarti.rxd <= urxd1; apbuarti.extclk <= '0'; utxd1 <= apbuarto.txd;
316 apbuarti.ctsn <= '0'; --rtsn1 <= apbuarto.rtsn;
317 led(0) <= not apbuarti.rxd; led(1) <= not apbuarto.txd;
318 end generate;
319 noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
320
321 ---------------------------------------------------------------------
322 --- AJOUT TEST -------------------------------------IPs------------
323 ---------------------------------------------------------------------
324
293
325
294 end Behavioral; No newline at end of file
326 end Behavioral;
@@ -1,163 +1,255
1 ----------------------------------------------------------------------------------
1 ----------------------------------------------------------------------------------
2 -- Company:
2 -- Company:
3 -- Engineer:
3 -- Engineer:
4 --
4 --
5 -- Create Date: 17:16:12 03/29/2011
5 -- Create Date: 17:16:12 03/29/2011
6 -- Design Name:
6 -- Design Name:
7 -- Module Name: top - Behavioral
7 -- Module Name: top - Behavioral
8 -- Project Name:
8 -- Project Name:
9 -- Target Devices:
9 -- Target Devices:
10 -- Tool versions:
10 -- Tool versions:
11 -- Description:
11 -- Description:
12 --
12 --
13 -- Dependencies:
13 -- Dependencies:
14 --
14 --
15 -- Revision:
15 -- Revision:
16 -- Revision 0.01 - File Created
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
17 -- Additional Comments:
18 --
18 --
19 ----------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 library IEEE;
20 library ieee;
21 use IEEE.STD_LOGIC_1164.ALL;
21 use ieee.std_logic_1164.all;
22 library grlib;
22 library grlib;
23 use grlib.amba.all;
23 use grlib.amba.all;
24 use work.config.all;
24 use grlib.stdlib.all;
25 library techmap;
26 use techmap.gencomp.all;
25 library gaisler;
27 library gaisler;
28 use gaisler.memctrl.all;
29 use gaisler.leon3.all;
26 use gaisler.uart.all;
30 use gaisler.uart.all;
27 use gaisler.misc.all;
31 use gaisler.misc.all;
28 use gaisler.leon3.all;
32 library esa;
29 library techmap;
33 use esa.memoryctrl.all;
30 use techmap.gencomp.all;
34 use work.config.all;
31 use techmap.allclkgen.all;
32 library lpp;
35 library lpp;
33 use lpp.general_purpose.all;
36 use lpp.lpp_amba.all;
34 use lpp.lpp_uart.all;
37 use lpp.lpp_uart.all;
38 use lpp.lpp_memory.all;
39 use lpp.general_purpose.all;
35
40
36 entity top is
41 entity miniamba is
37 generic (
42 generic (
38 fabtech : integer := CFG_FABTECH;
43 fabtech : integer := CFG_FABTECH;
39 memtech : integer := CFG_MEMTECH;
44 memtech : integer := CFG_MEMTECH;
40 padtech : integer := CFG_PADTECH;
45 padtech : integer := CFG_PADTECH;
41 clktech : integer := CFG_CLKTECH;
46 clktech : integer := CFG_CLKTECH;
42 disas : integer := CFG_DISAS; -- Enable disassembly to console
47 disas : integer := CFG_DISAS; -- Enable disassembly to console
43 dbguart : integer := CFG_DUART; -- Print UART on console
48 dbguart : integer := CFG_DUART; -- Print UART on console
44 pclow : integer := CFG_PCLOW);
49 pclow : integer := CFG_PCLOW);
45 Port ( clk50MHz : in STD_LOGIC;
50 Port (
51 clk50MHz : in STD_LOGIC;
46 reset : in STD_LOGIC;
52 reset : in STD_LOGIC;
47 led : out std_logic_vector(1 downto 0);
53 led : out std_logic_vector(1 downto 0);
48 --
54 ahbrxd : in std_ulogic;
49 ahbrxd : in std_ulogic;
50 ahbtxd : out std_ulogic;
55 ahbtxd : out std_ulogic;
51 urxd1 : in std_ulogic;
56 urxd1 : in std_ulogic;
52 utxd1 : out std_ulogic
57 utxd1 : out std_ulogic;
53 );
58 data : inout std_logic_vector(31 downto 0);
54 end top;
59 address : out std_logic_vector(18 downto 0);
60 nBWa : out std_logic;
61 nBWb : out std_logic;
62 nBWc : out std_logic;
63 nBWd : out std_logic;
64 nBWE : out std_logic;
65 nADSC : out std_logic;
66 nADSP : out std_logic;
67 nADV : out std_logic;
68 nGW : out std_logic;
69 nCE1 : out std_logic;
70 CE2 : out std_logic;
71 nCE3 : out std_logic;
72 nOE : out std_logic;
73 MODE : out std_logic;
74 SSRAM_CLK : out std_logic;
75 ZZ : out std_logic
76 );
77 end miniamba;
55
78
56 architecture Behavioral of top is
79 architecture Behavioral of miniamba is
57
80
58 --- AHB / APB
81 --- AHB / APB
59 signal apbi : apb_slv_in_type;
82 signal apbi : apb_slv_in_type;
60 signal apbo : apb_slv_out_vector := (others => apb_none);
83 signal apbo : apb_slv_out_vector := (others => apb_none);
61 signal ahbsi : ahb_slv_in_type;
84 signal ahbsi : ahb_slv_in_type;
62 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
85 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
63 signal ahbmi : ahb_mst_in_type;
86 signal ahbmi : ahb_mst_in_type;
64 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
87 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
65 -- AHBUART
88 -- AHBUART
66 signal ahbuarti: uart_in_type;
89 signal ahbuarti: uart_in_type;
67 signal ahbuarto: uart_out_type;
90 signal ahbuarto: uart_out_type;
68 signal apbuarti: uart_in_type;
91 signal apbuarti: uart_in_type;
69 signal apbuarto: uart_out_type;
92 signal apbuarto: uart_out_type;
70 signal rxd2 : std_ulogic;
93 signal rxd2 : std_ulogic;
71 signal rxd1 : std_ulogic;
94 signal rxd1 : std_ulogic;
72 signal txd1 : std_ulogic;
95 signal txd1 : std_ulogic;
73
96
74 signal vcc : std_logic_vector(4 downto 0);
97 signal vcc : std_logic_vector(4 downto 0);
75 signal gnd : std_logic_vector(4 downto 0);
98 signal gnd : std_logic_vector(4 downto 0);
76 --signal LED_rotary : std_logic_vector(7 downto 0);
99
100 -- MEM CTRLR
101 signal memi : memory_in_type;
102 signal memo : memory_out_type;
103 signal sdo : sdram_out_type;
104 signal sdo3 : sdctrl_out_type;
105 signal wpo : wprot_out_type;
77
106
78 signal clkm : std_ulogic;
107 signal clkm : std_ulogic;
108 signal resetnl : std_ulogic;
109 signal sdclkl : std_ulogic;
110 signal pciclk : std_ulogic;
79 signal lclk : std_ulogic;
111 signal lclk : std_ulogic;
80 signal rstn : std_ulogic;
112 signal rstn : std_ulogic;
81 signal clk2x : std_ulogic;
113 signal clk2x : std_ulogic;
82 signal rstraw : std_logic;
114 signal rstraw : std_logic;
83 signal rstneg : std_logic;
115 signal rstneg : std_logic;
84 signal lock : std_logic;
116 signal lock : std_logic;
85 signal cgi : clkgen_in_type;
117 signal cgi : clkgen_in_type;
86 signal cgo : clkgen_out_type;
118 signal cgo : clkgen_out_type;
87
119
88 constant BOARD_FREQ : integer := 50000; -- input frequency in KHz
120 -- LEON3
121 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
122 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
123 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
124 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
125
126 signal dsui : dsu_in_type;
127 signal dsuo : dsu_out_type;
128 signal dui : uart_in_type;
129 signal duo : uart_out_type;
130
131
132 constant boardfreq : integer := 50000; -- input frequency in KHz
89
133
90 begin
134 begin
91
135
92 ----------------------------------------------------------------------
136 ----------------------------------------------------------------------
93 --- Reset and Clock generation -------------------------------------
137 --- Reset and Clock generation -------------------------------------
94 ----------------------------------------------------------------------
138 ----------------------------------------------------------------------
95
139
96 vcc <= (others => '1'); gnd <= (others => '0');
140 -- vcc <= (others => '1'); gnd <= (others => '0');
97 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
141 -- cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
98 rstneg <= reset;
142 -- rstneg <= reset;
99
143 --
100 rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw);
144 -- rst0 : rstgen port map (rstneg, clkm, '1', rstn, rstraw);
101 lock <= cgo.clklock;
145 -- lock <= cgo.clklock;
102
146 --
103 clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
147 -- clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
104
148 ----
105 clkgen0 : clkgen -- clock generator MUL 4, DIV 5
149 ---- clkgen0 : clkgen -- clock generator MUL 4, DIV 5
106 generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
150 ---- generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
107 port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
151 ---- port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
152 --
153 --process(lclk)
154 --begin
155 -- if lclk'event and lclk = '1' then
156 -- clkm <= not clkm;
157 -- end if;
158 --end process;
159 vcc <= (others => '1'); gnd <= (others => '0');
160 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
161
162 clk_pad : inpad generic map (tech => 0) port map (clk50MHz, lclk);
163
164 clkgen0 : clkgen -- clock generator
165 generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
166 CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
167 port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo);
168
169 resetn_pad : inpad generic map (tech => padtech) port map (reset, resetnl);
170 rst0 : rstgen -- reset generator
171 port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
172 --led(5) <= cgo.clklock;
173
108
174
109 --------------------------------------
175 --------------------------------------
110 --- CLK_DIVIDER ----------------------
176 --- CLK_DIVIDER ----------------------
111 --------------------------------------
177 --------------------------------------
112 clk_divider0 : Clk_divider
178 clk_divider0 : Clk_divider
113 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
179 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
114 Port map( clkm, rstn, led(1));
180 Port map( clkm, rstn, led(1));
115
181
116 -------------------------------
182 -------------------------------
117 --- AHB CONTROLLER ------------
183 --- AHB CONTROLLER ------------
118 -------------------------------
184 -------------------------------
119 ahb0 : ahbctrl -- AHB arbiter/multiplexer
185 ahb0 : ahbctrl -- AHB arbiter/multiplexer
120 generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
186 generic map (defmast => 0, --AHB_UART default master
121 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
187 split => CFG_SPLIT,
122 nahbm => CFG_NCPU+CFG_AHB_UART,
188 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
123 nahbs => 2)
189 nahbm => 3,
190 nahbs => 2)
124 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
191 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
125
192
193
194 -------------------------------
195 --- MEMORY CONTROLLER ---------
196 -------------------------------
197 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
198 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
199
200
201 bdr : for i in 0 to 3 generate
202 data_pad : iopadv generic map (tech => padtech, width => 8)
203 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
204 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
205 end generate;
206
207
208
209 addr_pad : outpadv generic map (width => 19, tech => padtech)
210 port map (address, memo.address(18 downto 0));
211
212
213
214 SSRAM_0:entity ssram_plugin
215 generic map (tech => padtech)
216 port map
217 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
218
126 -------------------------------
219 -------------------------------
127 --- AHBUART -------------------
220 --- AHBUART -------------------
128 -------------------------------
221 -------------------------------
129 dcom0 : ahbuart -- AMBA AHB Serial Debug Interface
222 dcom0 : ahbuart -- AMBA AHB Serial Debug Interface
130 generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
223 generic map (hindex => 1, pindex => 2, paddr => 2)
131 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
224 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(2), ahbmi, ahbmo(1));
132 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, rxd2);
225 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, rxd2);
133 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
226 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
134 ahbuarti.rxd <= rxd2;
227 ahbuarti.rxd <= rxd2;
135
228
136 ----------------------------------------------------------------------
229 ----------------------------------------------------------------------
137 --- APB Bridge and various periherals --------------------------------
230 --- APB Bridge and various periherals --------------------------------
138 ----------------------------------------------------------------------
231 ----------------------------------------------------------------------
139 apb0 : apbctrl -- AHB/APB bridge
232 apb0 : apbctrl -- AHB/APB bridge
140 generic map (hindex => 1, haddr => CFG_APBADDR,nslaves => 1)
233 generic map (hindex => 3, haddr => CFG_APBADDR)
141 port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
234 port map (rstn, clkm, ahbsi, ahbso(3), apbi, apbo);
142
235
143 uart1 : APB_UART
236 uart1 : APB_UART
144 generic map(
237 generic map(
145 pindex => 0,
238 pindex => 1,
146 paddr => 0)
239 paddr => 1)
147 port map(
240 port map(
148 clk => clkm, --! Horloge du composant
241 clk => clkm, --! Horloge du composant
149 rst => rstn, --! Reset general du composant
242 rst => rstn, --! Reset general du composant
150 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
243 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
151 apbo => apbo(0), --! Registre de gestion des sorties du bus
244 apbo => apbo(1), --! Registre de gestion des sorties du bus
152 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
245 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
153 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
246 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
154 );
247 );
155
248
156
249
157 ----------------------------------
250 ----------------------------------
158 --- LED --------------------------
251 --- LED --------------------------
159 ----------------------------------
252 ----------------------------------
160 led(0) <= not rxd1;
253 led(0) <= not rxd1;
161
254
162 end Behavioral;
255 end Behavioral; No newline at end of file
163
@@ -1,1963 +1,2196
1 KEY LIBERO "8.6"
1 KEY LIBERO "8.6"
2 KEY CAPTURE "8.6.2.10"
2 KEY CAPTURE "8.6.2.10"
3 KEY HDLTechnology "VHDL"
3 KEY HDLTechnology "VHDL"
4 KEY VendorTechnology_Family "PROASIC3"
4 KEY VendorTechnology_Family "PROASIC3"
5 KEY VendorTechnology_Die "IT14X14M4LDP"
5 KEY VendorTechnology_Die "IT14X14M4LDP"
6 KEY VendorTechnology_Package "fg324"
6 KEY VendorTechnology_Package "fg324"
7 KEY ProjectLocation "."
7 KEY ProjectLocation "."
8 KEY SimulationType "VHDL"
8 KEY SimulationType "VHDL"
9 KEY Vendor "Actel"
9 KEY Vendor "Actel"
10 KEY ActiveRoot "top"
10 KEY ActiveRoot "top"
11 LIST REVISIONS
11 LIST REVISIONS
12 VALUE="Impl1",NUM=1
12 VALUE="Impl1",NUM=1
13 CURREV=1
13 CURREV=1
14 ENDLIST
14 ENDLIST
15 LIST LIBRARIES
15 LIST LIBRARIES
16 grlib
16 grlib
17 dw02
17 synplify
18 synplify
18 techmap
19 techmap
19 spw
20 spw
20 eth
21 eth
21 opencores
22 opencores
22 gaisler
23 gaisler
23 esa
24 esa
24 fmf
25 fmf
25 spansion
26 spansion
26 gsi
27 gsi
27 lpp
28 lpp
28 cypress
29 cypress
29 work
30 work
30 ENDLIST
31 ENDLIST
31 LIST LIBRARIES_grlib
32 LIST LIBRARIES_grlib
32 ALIAS=grlib
33 ALIAS=grlib
33 COMPILE_OPTION=COMPILE
34 COMPILE_OPTION=COMPILE
34 ENDLIST
35 ENDLIST
36 LIST LIBRARIES_dw02
37 ALIAS=dw02
38 COMPILE_OPTION=COMPILE
39 ENDLIST
35 LIST LIBRARIES_synplify
40 LIST LIBRARIES_synplify
36 ALIAS=synplify
41 ALIAS=synplify
37 COMPILE_OPTION=COMPILE
42 COMPILE_OPTION=COMPILE
38 ENDLIST
43 ENDLIST
39 LIST LIBRARIES_techmap
44 LIST LIBRARIES_techmap
40 ALIAS=techmap
45 ALIAS=techmap
41 COMPILE_OPTION=COMPILE
46 COMPILE_OPTION=COMPILE
42 ENDLIST
47 ENDLIST
43 LIST LIBRARIES_spw
48 LIST LIBRARIES_spw
44 ALIAS=spw
49 ALIAS=spw
45 COMPILE_OPTION=COMPILE
50 COMPILE_OPTION=COMPILE
46 ENDLIST
51 ENDLIST
47 LIST LIBRARIES_eth
52 LIST LIBRARIES_eth
48 ALIAS=eth
53 ALIAS=eth
49 COMPILE_OPTION=COMPILE
54 COMPILE_OPTION=COMPILE
50 ENDLIST
55 ENDLIST
51 LIST LIBRARIES_opencores
56 LIST LIBRARIES_opencores
52 ALIAS=opencores
57 ALIAS=opencores
53 COMPILE_OPTION=COMPILE
58 COMPILE_OPTION=COMPILE
54 ENDLIST
59 ENDLIST
55 LIST LIBRARIES_gaisler
60 LIST LIBRARIES_gaisler
56 ALIAS=gaisler
61 ALIAS=gaisler
57 COMPILE_OPTION=COMPILE
62 COMPILE_OPTION=COMPILE
58 ENDLIST
63 ENDLIST
59 LIST LIBRARIES_esa
64 LIST LIBRARIES_esa
60 ALIAS=esa
65 ALIAS=esa
61 COMPILE_OPTION=COMPILE
66 COMPILE_OPTION=COMPILE
62 ENDLIST
67 ENDLIST
63 LIST LIBRARIES_fmf
68 LIST LIBRARIES_fmf
64 ALIAS=fmf
69 ALIAS=fmf
65 COMPILE_OPTION=COMPILE
70 COMPILE_OPTION=COMPILE
66 ENDLIST
71 ENDLIST
67 LIST LIBRARIES_spansion
72 LIST LIBRARIES_spansion
68 ALIAS=spansion
73 ALIAS=spansion
69 COMPILE_OPTION=COMPILE
74 COMPILE_OPTION=COMPILE
70 ENDLIST
75 ENDLIST
71 LIST LIBRARIES_gsi
76 LIST LIBRARIES_gsi
72 ALIAS=gsi
77 ALIAS=gsi
73 COMPILE_OPTION=COMPILE
78 COMPILE_OPTION=COMPILE
74 ENDLIST
79 ENDLIST
75 LIST LIBRARIES_lpp
80 LIST LIBRARIES_lpp
76 ALIAS=lpp
81 ALIAS=lpp
77 COMPILE_OPTION=COMPILE
82 COMPILE_OPTION=COMPILE
78 ENDLIST
83 ENDLIST
79 LIST LIBRARIES_cypress
84 LIST LIBRARIES_cypress
80 ALIAS=cypress
85 ALIAS=cypress
81 COMPILE_OPTION=COMPILE
86 COMPILE_OPTION=COMPILE
82 ENDLIST
87 ENDLIST
83 LIST LIBRARIES_work
88 LIST LIBRARIES_work
84 ALIAS=work
89 ALIAS=work
85 COMPILE_OPTION=COMPILE
90 COMPILE_OPTION=COMPILE
86 ENDLIST
91 ENDLIST
87 LIST FileManager
92 LIST FileManager
88 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
93 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
89 STATE="utd"
94 STATE="utd"
90 LIBRARY="grlib"
95 LIBRARY="grlib"
91 ENDFILE
96 ENDFILE
92 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
97 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
93 STATE="utd"
98 STATE="utd"
94 LIBRARY="grlib"
99 LIBRARY="grlib"
95 ENDFILE
100 ENDFILE
96 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
101 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
97 STATE="utd"
102 STATE="utd"
98 LIBRARY="grlib"
103 LIBRARY="grlib"
99 ENDFILE
104 ENDFILE
100 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
105 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
101 STATE="utd"
106 STATE="utd"
102 LIBRARY="grlib"
107 LIBRARY="grlib"
103 ENDFILE
108 ENDFILE
104 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
109 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
105 STATE="utd"
110 STATE="utd"
106 LIBRARY="grlib"
111 LIBRARY="grlib"
107 ENDFILE
112 ENDFILE
108 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
113 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
109 STATE="utd"
114 STATE="utd"
110 LIBRARY="grlib"
115 LIBRARY="grlib"
111 ENDFILE
116 ENDFILE
112 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
117 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
113 STATE="utd"
118 STATE="utd"
114 LIBRARY="grlib"
119 LIBRARY="grlib"
115 ENDFILE
120 ENDFILE
116 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
121 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
117 STATE="utd"
122 STATE="utd"
118 LIBRARY="grlib"
123 LIBRARY="grlib"
119 ENDFILE
124 ENDFILE
120 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
125 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
121 STATE="utd"
126 STATE="utd"
122 LIBRARY="grlib"
127 LIBRARY="grlib"
123 ENDFILE
128 ENDFILE
124 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
129 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
125 STATE="utd"
130 STATE="utd"
126 LIBRARY="grlib"
131 LIBRARY="grlib"
127 ENDFILE
132 ENDFILE
128 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
133 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
129 STATE="utd"
134 STATE="utd"
130 LIBRARY="grlib"
135 LIBRARY="grlib"
131 ENDFILE
136 ENDFILE
132 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
137 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
133 STATE="utd"
138 STATE="utd"
134 LIBRARY="grlib"
139 LIBRARY="grlib"
135 ENDFILE
140 ENDFILE
136 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
141 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
137 STATE="utd"
142 STATE="utd"
138 LIBRARY="grlib"
143 LIBRARY="grlib"
139 ENDFILE
144 ENDFILE
140 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
145 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
141 STATE="utd"
146 STATE="utd"
142 LIBRARY="grlib"
147 LIBRARY="grlib"
143 ENDFILE
148 ENDFILE
144 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
149 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
145 STATE="utd"
150 STATE="utd"
146 LIBRARY="grlib"
151 LIBRARY="grlib"
147 ENDFILE
152 ENDFILE
148 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
153 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
149 STATE="utd"
154 STATE="utd"
150 LIBRARY="grlib"
155 LIBRARY="grlib"
151 ENDFILE
156 ENDFILE
152 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
157 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
153 STATE="utd"
158 STATE="utd"
154 LIBRARY="grlib"
159 LIBRARY="grlib"
155 ENDFILE
160 ENDFILE
156 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
161 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
157 STATE="utd"
162 STATE="utd"
158 LIBRARY="grlib"
163 LIBRARY="grlib"
159 ENDFILE
164 ENDFILE
160 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
165 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
161 STATE="utd"
166 STATE="utd"
162 LIBRARY="grlib"
167 LIBRARY="grlib"
163 ENDFILE
168 ENDFILE
164 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
169 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
165 STATE="utd"
170 STATE="utd"
166 LIBRARY="grlib"
171 LIBRARY="grlib"
167 ENDFILE
172 ENDFILE
173 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
174 STATE="utd"
175 LIBRARY="dw02"
176 ENDFILE
168 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
177 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
169 STATE="utd"
178 STATE="utd"
170 LIBRARY="synplify"
179 LIBRARY="synplify"
171 ENDFILE
180 ENDFILE
172 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
181 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
173 STATE="utd"
182 STATE="utd"
174 LIBRARY="synplify"
183 LIBRARY="synplify"
175 ENDFILE
184 ENDFILE
176 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
185 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
177 STATE="utd"
186 STATE="utd"
178 LIBRARY="techmap"
187 LIBRARY="techmap"
179 ENDFILE
188 ENDFILE
180 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
189 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
181 STATE="utd"
190 STATE="utd"
182 LIBRARY="techmap"
191 LIBRARY="techmap"
183 ENDFILE
192 ENDFILE
184 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
193 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
185 STATE="utd"
194 STATE="utd"
186 LIBRARY="techmap"
195 LIBRARY="techmap"
187 ENDFILE
196 ENDFILE
197 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
198 STATE="utd"
199 LIBRARY="techmap"
200 ENDFILE
188 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
201 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
189 STATE="utd"
202 STATE="utd"
190 LIBRARY="techmap"
203 LIBRARY="techmap"
191 ENDFILE
204 ENDFILE
192 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
205 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
193 STATE="utd"
206 STATE="utd"
194 LIBRARY="techmap"
207 LIBRARY="techmap"
195 ENDFILE
208 ENDFILE
196 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
209 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
197 STATE="utd"
210 STATE="utd"
198 LIBRARY="techmap"
211 LIBRARY="techmap"
199 ENDFILE
212 ENDFILE
213 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
214 STATE="utd"
215 LIBRARY="techmap"
216 ENDFILE
217 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
218 STATE="utd"
219 LIBRARY="techmap"
220 ENDFILE
221 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
222 STATE="utd"
223 LIBRARY="techmap"
224 ENDFILE
225 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
226 STATE="utd"
227 LIBRARY="techmap"
228 ENDFILE
229 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
230 STATE="utd"
231 LIBRARY="techmap"
232 ENDFILE
233 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
234 STATE="utd"
235 LIBRARY="techmap"
236 ENDFILE
237 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
238 STATE="utd"
239 LIBRARY="techmap"
240 ENDFILE
241 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
242 STATE="utd"
243 LIBRARY="techmap"
244 ENDFILE
245 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
246 STATE="utd"
247 LIBRARY="techmap"
248 ENDFILE
249 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
250 STATE="utd"
251 LIBRARY="techmap"
252 ENDFILE
253 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
254 STATE="utd"
255 LIBRARY="techmap"
256 ENDFILE
257 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
258 STATE="utd"
259 LIBRARY="techmap"
260 ENDFILE
261 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
262 STATE="utd"
263 LIBRARY="techmap"
264 ENDFILE
265 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
266 STATE="utd"
267 LIBRARY="techmap"
268 ENDFILE
200 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
269 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
201 STATE="utd"
270 STATE="utd"
202 LIBRARY="techmap"
271 LIBRARY="techmap"
203 ENDFILE
272 ENDFILE
204 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
273 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
205 STATE="utd"
274 STATE="utd"
206 LIBRARY="techmap"
275 LIBRARY="techmap"
207 ENDFILE
276 ENDFILE
208 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
277 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
209 STATE="utd"
278 STATE="utd"
210 LIBRARY="techmap"
279 LIBRARY="techmap"
211 ENDFILE
280 ENDFILE
212 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
281 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
213 STATE="utd"
282 STATE="utd"
214 LIBRARY="techmap"
283 LIBRARY="techmap"
215 ENDFILE
284 ENDFILE
216 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
285 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
217 STATE="utd"
286 STATE="utd"
218 LIBRARY="techmap"
287 LIBRARY="techmap"
219 ENDFILE
288 ENDFILE
220 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
289 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
221 STATE="utd"
290 STATE="utd"
222 LIBRARY="techmap"
291 LIBRARY="techmap"
223 ENDFILE
292 ENDFILE
224 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
293 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
225 STATE="utd"
294 STATE="utd"
226 LIBRARY="techmap"
295 LIBRARY="techmap"
227 ENDFILE
296 ENDFILE
228 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
297 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
229 STATE="utd"
298 STATE="utd"
230 LIBRARY="techmap"
299 LIBRARY="techmap"
231 ENDFILE
300 ENDFILE
232 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
301 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
233 STATE="utd"
302 STATE="utd"
234 LIBRARY="techmap"
303 LIBRARY="techmap"
235 ENDFILE
304 ENDFILE
236 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
305 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
237 STATE="utd"
306 STATE="utd"
238 LIBRARY="techmap"
307 LIBRARY="techmap"
239 ENDFILE
308 ENDFILE
240 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
309 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
241 STATE="utd"
310 STATE="utd"
242 LIBRARY="techmap"
311 LIBRARY="techmap"
243 ENDFILE
312 ENDFILE
244 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
313 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
245 STATE="utd"
314 STATE="utd"
246 LIBRARY="techmap"
315 LIBRARY="techmap"
247 ENDFILE
316 ENDFILE
248 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
317 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
249 STATE="utd"
318 STATE="utd"
250 LIBRARY="techmap"
319 LIBRARY="techmap"
251 ENDFILE
320 ENDFILE
252 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
321 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
253 STATE="utd"
322 STATE="utd"
254 LIBRARY="techmap"
323 LIBRARY="techmap"
255 ENDFILE
324 ENDFILE
256 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
325 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
257 STATE="utd"
326 STATE="utd"
258 LIBRARY="techmap"
327 LIBRARY="techmap"
259 ENDFILE
328 ENDFILE
260 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
329 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
261 STATE="utd"
330 STATE="utd"
262 LIBRARY="techmap"
331 LIBRARY="techmap"
263 ENDFILE
332 ENDFILE
264 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
333 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
265 STATE="utd"
334 STATE="utd"
266 LIBRARY="techmap"
335 LIBRARY="techmap"
267 ENDFILE
336 ENDFILE
268 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
337 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
269 STATE="utd"
338 STATE="utd"
270 LIBRARY="techmap"
339 LIBRARY="techmap"
271 ENDFILE
340 ENDFILE
272 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
341 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
273 STATE="utd"
342 STATE="utd"
274 LIBRARY="techmap"
343 LIBRARY="techmap"
275 ENDFILE
344 ENDFILE
276 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
345 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
277 STATE="utd"
346 STATE="utd"
278 LIBRARY="techmap"
347 LIBRARY="techmap"
279 ENDFILE
348 ENDFILE
280 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
349 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
281 STATE="utd"
350 STATE="utd"
282 LIBRARY="techmap"
351 LIBRARY="techmap"
283 ENDFILE
352 ENDFILE
284 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
353 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
285 STATE="utd"
354 STATE="utd"
286 LIBRARY="techmap"
355 LIBRARY="techmap"
287 ENDFILE
356 ENDFILE
288 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
357 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
289 STATE="utd"
358 STATE="utd"
290 LIBRARY="techmap"
359 LIBRARY="techmap"
291 ENDFILE
360 ENDFILE
292 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
361 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
293 STATE="utd"
362 STATE="utd"
294 LIBRARY="techmap"
363 LIBRARY="techmap"
295 ENDFILE
364 ENDFILE
296 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
365 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
297 STATE="utd"
366 STATE="utd"
298 LIBRARY="techmap"
367 LIBRARY="techmap"
299 ENDFILE
368 ENDFILE
300 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
369 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
301 STATE="utd"
370 STATE="utd"
302 LIBRARY="techmap"
371 LIBRARY="techmap"
303 ENDFILE
372 ENDFILE
304 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
373 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
305 STATE="utd"
374 STATE="utd"
306 LIBRARY="techmap"
375 LIBRARY="techmap"
307 ENDFILE
376 ENDFILE
308 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
377 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
309 STATE="utd"
378 STATE="utd"
310 LIBRARY="techmap"
379 LIBRARY="techmap"
311 ENDFILE
380 ENDFILE
312 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
381 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
313 STATE="utd"
382 STATE="utd"
314 LIBRARY="techmap"
383 LIBRARY="techmap"
315 ENDFILE
384 ENDFILE
316 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
385 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
317 STATE="utd"
386 STATE="utd"
318 LIBRARY="techmap"
387 LIBRARY="techmap"
319 ENDFILE
388 ENDFILE
320 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
389 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
321 STATE="utd"
390 STATE="utd"
322 LIBRARY="techmap"
391 LIBRARY="techmap"
323 ENDFILE
392 ENDFILE
324 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
393 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
325 STATE="utd"
394 STATE="utd"
326 LIBRARY="techmap"
395 LIBRARY="techmap"
327 ENDFILE
396 ENDFILE
328 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
397 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
329 STATE="utd"
398 STATE="utd"
330 LIBRARY="techmap"
399 LIBRARY="techmap"
331 ENDFILE
400 ENDFILE
332 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
401 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
333 STATE="utd"
402 STATE="utd"
334 LIBRARY="techmap"
403 LIBRARY="techmap"
335 ENDFILE
404 ENDFILE
336 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
405 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
337 STATE="utd"
406 STATE="utd"
338 LIBRARY="techmap"
407 LIBRARY="techmap"
339 ENDFILE
408 ENDFILE
340 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
409 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
341 STATE="utd"
410 STATE="utd"
342 LIBRARY="techmap"
411 LIBRARY="techmap"
343 ENDFILE
412 ENDFILE
344 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
413 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
345 STATE="utd"
414 STATE="utd"
346 LIBRARY="techmap"
415 LIBRARY="techmap"
347 ENDFILE
416 ENDFILE
348 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
417 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
349 STATE="utd"
418 STATE="utd"
350 LIBRARY="techmap"
419 LIBRARY="techmap"
351 ENDFILE
420 ENDFILE
352 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
421 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
353 STATE="utd"
422 STATE="utd"
354 LIBRARY="techmap"
423 LIBRARY="techmap"
355 ENDFILE
424 ENDFILE
356 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
425 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
357 STATE="utd"
426 STATE="utd"
358 LIBRARY="techmap"
427 LIBRARY="techmap"
359 ENDFILE
428 ENDFILE
360 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
429 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
361 STATE="utd"
430 STATE="utd"
362 LIBRARY="techmap"
431 LIBRARY="techmap"
363 ENDFILE
432 ENDFILE
364 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
433 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
365 STATE="utd"
434 STATE="utd"
366 LIBRARY="techmap"
435 LIBRARY="techmap"
367 ENDFILE
436 ENDFILE
368 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
437 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
369 STATE="utd"
438 STATE="utd"
370 LIBRARY="techmap"
439 LIBRARY="techmap"
371 ENDFILE
440 ENDFILE
372 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
441 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
373 STATE="utd"
442 STATE="utd"
374 LIBRARY="techmap"
443 LIBRARY="techmap"
375 ENDFILE
444 ENDFILE
376 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
445 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
377 STATE="utd"
446 STATE="utd"
378 LIBRARY="techmap"
447 LIBRARY="techmap"
379 ENDFILE
448 ENDFILE
380 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
449 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
381 STATE="utd"
450 STATE="utd"
382 LIBRARY="techmap"
451 LIBRARY="techmap"
383 ENDFILE
452 ENDFILE
384 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
453 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
385 STATE="utd"
454 STATE="utd"
386 LIBRARY="techmap"
455 LIBRARY="techmap"
387 ENDFILE
456 ENDFILE
388 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
457 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
389 STATE="utd"
458 STATE="utd"
390 LIBRARY="techmap"
459 LIBRARY="techmap"
391 ENDFILE
460 ENDFILE
392 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
461 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
393 STATE="utd"
462 STATE="utd"
394 LIBRARY="techmap"
463 LIBRARY="techmap"
395 ENDFILE
464 ENDFILE
396 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
465 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
397 STATE="utd"
466 STATE="utd"
398 LIBRARY="techmap"
467 LIBRARY="techmap"
399 ENDFILE
468 ENDFILE
400 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
469 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
401 STATE="utd"
470 STATE="utd"
402 LIBRARY="techmap"
471 LIBRARY="techmap"
403 ENDFILE
472 ENDFILE
404 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
473 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
405 STATE="utd"
474 STATE="utd"
406 LIBRARY="techmap"
475 LIBRARY="techmap"
407 ENDFILE
476 ENDFILE
408 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
477 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
409 STATE="utd"
478 STATE="utd"
410 LIBRARY="techmap"
479 LIBRARY="techmap"
411 ENDFILE
480 ENDFILE
412 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
481 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
413 STATE="utd"
482 STATE="utd"
414 LIBRARY="techmap"
483 LIBRARY="techmap"
415 ENDFILE
484 ENDFILE
416 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
485 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
417 STATE="utd"
486 STATE="utd"
418 LIBRARY="techmap"
487 LIBRARY="techmap"
419 ENDFILE
488 ENDFILE
420 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
489 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
421 STATE="utd"
490 STATE="utd"
422 LIBRARY="spw"
491 LIBRARY="spw"
423 ENDFILE
492 ENDFILE
424 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
493 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
425 STATE="utd"
494 STATE="utd"
426 LIBRARY="spw"
495 LIBRARY="spw"
427 ENDFILE
496 ENDFILE
428 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
497 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
429 STATE="utd"
498 STATE="utd"
430 LIBRARY="spw"
499 LIBRARY="spw"
431 ENDFILE
500 ENDFILE
432 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
501 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
433 STATE="utd"
502 STATE="utd"
434 LIBRARY="eth"
503 LIBRARY="eth"
435 ENDFILE
504 ENDFILE
436 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
505 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
437 STATE="utd"
506 STATE="utd"
438 LIBRARY="eth"
507 LIBRARY="eth"
439 ENDFILE
508 ENDFILE
440 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
509 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
441 STATE="utd"
510 STATE="utd"
442 LIBRARY="eth"
511 LIBRARY="eth"
443 ENDFILE
512 ENDFILE
444 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
513 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
445 STATE="utd"
514 STATE="utd"
446 LIBRARY="eth"
515 LIBRARY="eth"
447 ENDFILE
516 ENDFILE
448 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
517 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
449 STATE="utd"
518 STATE="utd"
450 LIBRARY="eth"
519 LIBRARY="eth"
451 ENDFILE
520 ENDFILE
452 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
521 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
453 STATE="utd"
522 STATE="utd"
454 LIBRARY="eth"
523 LIBRARY="eth"
455 ENDFILE
524 ENDFILE
456 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
525 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
457 STATE="utd"
526 STATE="utd"
458 LIBRARY="eth"
527 LIBRARY="eth"
459 ENDFILE
528 ENDFILE
460 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
529 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
461 STATE="utd"
530 STATE="utd"
462 LIBRARY="eth"
531 LIBRARY="eth"
463 ENDFILE
532 ENDFILE
464 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
533 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
465 STATE="utd"
534 STATE="utd"
466 LIBRARY="eth"
535 LIBRARY="eth"
467 ENDFILE
536 ENDFILE
468 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
537 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
469 STATE="utd"
538 STATE="utd"
470 LIBRARY="eth"
539 LIBRARY="eth"
471 ENDFILE
540 ENDFILE
472 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
541 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
473 STATE="utd"
542 STATE="utd"
474 LIBRARY="opencores"
543 LIBRARY="opencores"
475 ENDFILE
544 ENDFILE
476 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
545 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
477 STATE="utd"
546 STATE="utd"
478 LIBRARY="opencores"
547 LIBRARY="opencores"
479 ENDFILE
548 ENDFILE
480 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
549 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
481 STATE="utd"
550 STATE="utd"
482 LIBRARY="opencores"
551 LIBRARY="opencores"
483 ENDFILE
552 ENDFILE
484 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
553 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
485 STATE="utd"
554 STATE="utd"
486 LIBRARY="gaisler"
555 LIBRARY="gaisler"
487 ENDFILE
556 ENDFILE
488 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
557 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
489 STATE="utd"
558 STATE="utd"
490 LIBRARY="gaisler"
559 LIBRARY="gaisler"
491 ENDFILE
560 ENDFILE
492 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
561 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
493 STATE="utd"
562 STATE="utd"
494 LIBRARY="gaisler"
563 LIBRARY="gaisler"
495 ENDFILE
564 ENDFILE
496 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
565 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
497 STATE="utd"
566 STATE="utd"
498 LIBRARY="gaisler"
567 LIBRARY="gaisler"
499 ENDFILE
568 ENDFILE
500 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
569 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
501 STATE="utd"
570 STATE="utd"
502 LIBRARY="gaisler"
571 LIBRARY="gaisler"
503 ENDFILE
572 ENDFILE
504 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
573 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
505 STATE="utd"
574 STATE="utd"
506 LIBRARY="gaisler"
575 LIBRARY="gaisler"
507 ENDFILE
576 ENDFILE
508 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
577 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
509 STATE="utd"
578 STATE="utd"
510 LIBRARY="gaisler"
579 LIBRARY="gaisler"
511 ENDFILE
580 ENDFILE
512 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
581 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
513 STATE="utd"
582 STATE="utd"
514 LIBRARY="gaisler"
583 LIBRARY="gaisler"
515 ENDFILE
584 ENDFILE
516 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
585 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
517 STATE="utd"
586 STATE="utd"
518 LIBRARY="gaisler"
587 LIBRARY="gaisler"
519 ENDFILE
588 ENDFILE
520 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
589 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
521 STATE="utd"
590 STATE="utd"
522 LIBRARY="gaisler"
591 LIBRARY="gaisler"
523 ENDFILE
592 ENDFILE
524 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
593 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
525 STATE="utd"
594 STATE="utd"
526 LIBRARY="gaisler"
595 LIBRARY="gaisler"
527 ENDFILE
596 ENDFILE
528 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
597 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
529 STATE="utd"
598 STATE="utd"
530 LIBRARY="gaisler"
599 LIBRARY="gaisler"
531 ENDFILE
600 ENDFILE
532 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
601 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
533 STATE="utd"
602 STATE="utd"
534 LIBRARY="gaisler"
603 LIBRARY="gaisler"
535 ENDFILE
604 ENDFILE
536 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
605 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
537 STATE="utd"
606 STATE="utd"
538 LIBRARY="gaisler"
607 LIBRARY="gaisler"
539 ENDFILE
608 ENDFILE
540 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
609 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
541 STATE="utd"
610 STATE="utd"
542 LIBRARY="gaisler"
611 LIBRARY="gaisler"
543 ENDFILE
612 ENDFILE
544 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
613 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
545 STATE="utd"
614 STATE="utd"
546 LIBRARY="gaisler"
615 LIBRARY="gaisler"
547 ENDFILE
616 ENDFILE
548 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
617 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
549 STATE="utd"
618 STATE="utd"
550 LIBRARY="gaisler"
619 LIBRARY="gaisler"
551 ENDFILE
620 ENDFILE
552 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
621 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
553 STATE="utd"
622 STATE="utd"
554 LIBRARY="gaisler"
623 LIBRARY="gaisler"
555 ENDFILE
624 ENDFILE
556 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
625 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
557 STATE="utd"
626 STATE="utd"
558 LIBRARY="gaisler"
627 LIBRARY="gaisler"
559 ENDFILE
628 ENDFILE
560 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
629 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
561 STATE="utd"
630 STATE="utd"
562 LIBRARY="gaisler"
631 LIBRARY="gaisler"
563 ENDFILE
632 ENDFILE
564 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
633 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
565 STATE="utd"
634 STATE="utd"
566 LIBRARY="gaisler"
635 LIBRARY="gaisler"
567 ENDFILE
636 ENDFILE
568 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
637 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
569 STATE="utd"
638 STATE="utd"
570 LIBRARY="gaisler"
639 LIBRARY="gaisler"
571 ENDFILE
640 ENDFILE
572 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
641 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
573 STATE="utd"
642 STATE="utd"
574 LIBRARY="gaisler"
643 LIBRARY="gaisler"
575 ENDFILE
644 ENDFILE
576 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
645 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
577 STATE="utd"
646 STATE="utd"
578 LIBRARY="gaisler"
647 LIBRARY="gaisler"
579 ENDFILE
648 ENDFILE
580 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
649 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
581 STATE="utd"
650 STATE="utd"
582 LIBRARY="gaisler"
651 LIBRARY="gaisler"
583 ENDFILE
652 ENDFILE
584 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
653 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
585 STATE="utd"
654 STATE="utd"
586 LIBRARY="gaisler"
655 LIBRARY="gaisler"
587 ENDFILE
656 ENDFILE
588 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
657 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
589 STATE="utd"
658 STATE="utd"
590 LIBRARY="gaisler"
659 LIBRARY="gaisler"
591 ENDFILE
660 ENDFILE
592 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
661 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
593 STATE="utd"
662 STATE="utd"
594 LIBRARY="gaisler"
663 LIBRARY="gaisler"
595 ENDFILE
664 ENDFILE
596 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
665 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
597 STATE="utd"
666 STATE="utd"
598 LIBRARY="gaisler"
667 LIBRARY="gaisler"
599 ENDFILE
668 ENDFILE
600 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
669 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
601 STATE="utd"
670 STATE="utd"
602 LIBRARY="gaisler"
671 LIBRARY="gaisler"
603 ENDFILE
672 ENDFILE
604 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
673 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
605 STATE="utd"
674 STATE="utd"
606 LIBRARY="gaisler"
675 LIBRARY="gaisler"
607 ENDFILE
676 ENDFILE
608 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
677 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
609 STATE="utd"
678 STATE="utd"
610 LIBRARY="gaisler"
679 LIBRARY="gaisler"
611 ENDFILE
680 ENDFILE
612 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
681 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
613 STATE="utd"
682 STATE="utd"
614 LIBRARY="gaisler"
683 LIBRARY="gaisler"
615 ENDFILE
684 ENDFILE
616 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
685 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
617 STATE="utd"
686 STATE="utd"
618 LIBRARY="gaisler"
687 LIBRARY="gaisler"
619 ENDFILE
688 ENDFILE
620 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
689 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
621 STATE="utd"
690 STATE="utd"
622 LIBRARY="gaisler"
691 LIBRARY="gaisler"
623 ENDFILE
692 ENDFILE
624 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
693 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
625 STATE="utd"
694 STATE="utd"
626 LIBRARY="gaisler"
695 LIBRARY="gaisler"
627 ENDFILE
696 ENDFILE
628 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
697 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
629 STATE="utd"
698 STATE="utd"
630 LIBRARY="gaisler"
699 LIBRARY="gaisler"
631 ENDFILE
700 ENDFILE
632 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
701 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
633 STATE="utd"
702 STATE="utd"
634 LIBRARY="gaisler"
703 LIBRARY="gaisler"
635 ENDFILE
704 ENDFILE
636 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
705 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
637 STATE="utd"
706 STATE="utd"
638 LIBRARY="gaisler"
707 LIBRARY="gaisler"
639 ENDFILE
708 ENDFILE
640 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
709 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
641 STATE="utd"
710 STATE="utd"
642 LIBRARY="gaisler"
711 LIBRARY="gaisler"
643 ENDFILE
712 ENDFILE
644 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
713 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
645 STATE="utd"
714 STATE="utd"
646 LIBRARY="gaisler"
715 LIBRARY="gaisler"
647 ENDFILE
716 ENDFILE
648 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
717 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
649 STATE="utd"
718 STATE="utd"
650 LIBRARY="gaisler"
719 LIBRARY="gaisler"
651 ENDFILE
720 ENDFILE
652 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
721 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
653 STATE="utd"
722 STATE="utd"
654 LIBRARY="gaisler"
723 LIBRARY="gaisler"
655 ENDFILE
724 ENDFILE
656 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
725 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
657 STATE="utd"
726 STATE="utd"
658 LIBRARY="gaisler"
727 LIBRARY="gaisler"
659 ENDFILE
728 ENDFILE
660 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
729 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
661 STATE="utd"
730 STATE="utd"
662 LIBRARY="gaisler"
731 LIBRARY="gaisler"
663 ENDFILE
732 ENDFILE
664 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
733 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
665 STATE="utd"
734 STATE="utd"
666 LIBRARY="gaisler"
735 LIBRARY="gaisler"
667 ENDFILE
736 ENDFILE
668 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
737 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
669 STATE="utd"
738 STATE="utd"
670 LIBRARY="gaisler"
739 LIBRARY="gaisler"
671 ENDFILE
740 ENDFILE
672 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
741 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
673 STATE="utd"
742 STATE="utd"
674 LIBRARY="gaisler"
743 LIBRARY="gaisler"
675 ENDFILE
744 ENDFILE
676 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
745 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
677 STATE="utd"
746 STATE="utd"
678 LIBRARY="gaisler"
747 LIBRARY="gaisler"
679 ENDFILE
748 ENDFILE
680 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
749 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
681 STATE="utd"
750 STATE="utd"
682 LIBRARY="gaisler"
751 LIBRARY="gaisler"
683 ENDFILE
752 ENDFILE
684 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
753 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
685 STATE="utd"
754 STATE="utd"
686 LIBRARY="gaisler"
755 LIBRARY="gaisler"
687 ENDFILE
756 ENDFILE
688 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
757 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
689 STATE="utd"
758 STATE="utd"
690 LIBRARY="gaisler"
759 LIBRARY="gaisler"
691 ENDFILE
760 ENDFILE
692 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
761 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
693 STATE="utd"
762 STATE="utd"
694 LIBRARY="gaisler"
763 LIBRARY="gaisler"
695 ENDFILE
764 ENDFILE
696 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
765 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
697 STATE="utd"
766 STATE="utd"
698 LIBRARY="gaisler"
767 LIBRARY="gaisler"
699 ENDFILE
768 ENDFILE
700 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
769 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
701 STATE="utd"
770 STATE="utd"
702 LIBRARY="gaisler"
771 LIBRARY="gaisler"
703 ENDFILE
772 ENDFILE
704 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
773 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
705 STATE="utd"
774 STATE="utd"
706 LIBRARY="gaisler"
775 LIBRARY="gaisler"
707 ENDFILE
776 ENDFILE
708 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
777 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
709 STATE="utd"
778 STATE="utd"
710 LIBRARY="gaisler"
779 LIBRARY="gaisler"
711 ENDFILE
780 ENDFILE
712 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
781 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
713 STATE="utd"
782 STATE="utd"
714 LIBRARY="gaisler"
783 LIBRARY="gaisler"
715 ENDFILE
784 ENDFILE
716 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
785 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
717 STATE="utd"
786 STATE="utd"
718 LIBRARY="gaisler"
787 LIBRARY="gaisler"
719 ENDFILE
788 ENDFILE
720 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
789 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
721 STATE="utd"
790 STATE="utd"
722 LIBRARY="gaisler"
791 LIBRARY="gaisler"
723 ENDFILE
792 ENDFILE
724 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
793 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
725 STATE="utd"
794 STATE="utd"
726 LIBRARY="gaisler"
795 LIBRARY="gaisler"
727 ENDFILE
796 ENDFILE
728 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
797 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
729 STATE="utd"
798 STATE="utd"
730 LIBRARY="gaisler"
799 LIBRARY="gaisler"
731 ENDFILE
800 ENDFILE
732 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
801 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
733 STATE="utd"
802 STATE="utd"
734 LIBRARY="gaisler"
803 LIBRARY="gaisler"
735 ENDFILE
804 ENDFILE
736 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
805 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
737 STATE="utd"
806 STATE="utd"
738 LIBRARY="gaisler"
807 LIBRARY="gaisler"
739 ENDFILE
808 ENDFILE
740 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
809 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
741 STATE="utd"
810 STATE="utd"
742 LIBRARY="gaisler"
811 LIBRARY="gaisler"
743 ENDFILE
812 ENDFILE
744 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
813 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
745 STATE="utd"
814 STATE="utd"
746 LIBRARY="gaisler"
815 LIBRARY="gaisler"
747 ENDFILE
816 ENDFILE
748 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
817 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
749 STATE="utd"
818 STATE="utd"
750 LIBRARY="gaisler"
819 LIBRARY="gaisler"
751 ENDFILE
820 ENDFILE
752 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
821 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
753 STATE="utd"
822 STATE="utd"
754 LIBRARY="gaisler"
823 LIBRARY="gaisler"
755 ENDFILE
824 ENDFILE
756 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
825 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
757 STATE="utd"
826 STATE="utd"
758 LIBRARY="gaisler"
827 LIBRARY="gaisler"
759 ENDFILE
828 ENDFILE
760 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
829 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
761 STATE="utd"
830 STATE="utd"
762 LIBRARY="gaisler"
831 LIBRARY="gaisler"
763 ENDFILE
832 ENDFILE
764 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
833 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
765 STATE="utd"
834 STATE="utd"
766 LIBRARY="gaisler"
835 LIBRARY="gaisler"
767 ENDFILE
836 ENDFILE
768 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
837 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
769 STATE="utd"
838 STATE="utd"
770 LIBRARY="gaisler"
839 LIBRARY="gaisler"
771 ENDFILE
840 ENDFILE
772 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
841 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
773 STATE="utd"
842 STATE="utd"
774 LIBRARY="gaisler"
843 LIBRARY="gaisler"
775 ENDFILE
844 ENDFILE
776 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
845 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
777 STATE="utd"
846 STATE="utd"
778 LIBRARY="gaisler"
847 LIBRARY="gaisler"
779 ENDFILE
848 ENDFILE
780 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
849 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
781 STATE="utd"
850 STATE="utd"
782 LIBRARY="gaisler"
851 LIBRARY="gaisler"
783 ENDFILE
852 ENDFILE
784 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
853 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
785 STATE="utd"
854 STATE="utd"
786 LIBRARY="gaisler"
855 LIBRARY="gaisler"
787 ENDFILE
856 ENDFILE
788 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
857 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
789 STATE="utd"
858 STATE="utd"
790 LIBRARY="gaisler"
859 LIBRARY="gaisler"
791 ENDFILE
860 ENDFILE
792 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
861 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
793 STATE="utd"
862 STATE="utd"
794 LIBRARY="gaisler"
863 LIBRARY="gaisler"
795 ENDFILE
864 ENDFILE
796 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
865 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
797 STATE="utd"
866 STATE="utd"
798 LIBRARY="gaisler"
867 LIBRARY="gaisler"
799 ENDFILE
868 ENDFILE
800 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
869 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
801 STATE="utd"
870 STATE="utd"
802 LIBRARY="gaisler"
871 LIBRARY="gaisler"
803 ENDFILE
872 ENDFILE
804 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
873 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
805 STATE="utd"
874 STATE="utd"
806 LIBRARY="gaisler"
875 LIBRARY="gaisler"
807 ENDFILE
876 ENDFILE
808 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
877 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
809 STATE="utd"
878 STATE="utd"
810 LIBRARY="gaisler"
879 LIBRARY="gaisler"
811 ENDFILE
880 ENDFILE
812 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
881 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
813 STATE="utd"
882 STATE="utd"
814 LIBRARY="gaisler"
883 LIBRARY="gaisler"
815 ENDFILE
884 ENDFILE
816 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
885 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
817 STATE="utd"
886 STATE="utd"
818 LIBRARY="gaisler"
887 LIBRARY="gaisler"
819 ENDFILE
888 ENDFILE
820 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
889 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
821 STATE="utd"
890 STATE="utd"
822 LIBRARY="gaisler"
891 LIBRARY="gaisler"
823 ENDFILE
892 ENDFILE
824 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
893 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
825 STATE="utd"
894 STATE="utd"
826 LIBRARY="gaisler"
895 LIBRARY="gaisler"
827 ENDFILE
896 ENDFILE
828 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
897 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
829 STATE="utd"
898 STATE="utd"
830 LIBRARY="gaisler"
899 LIBRARY="gaisler"
831 ENDFILE
900 ENDFILE
832 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
901 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
833 STATE="utd"
902 STATE="utd"
834 LIBRARY="gaisler"
903 LIBRARY="gaisler"
835 ENDFILE
904 ENDFILE
836 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
905 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
837 STATE="utd"
906 STATE="utd"
838 LIBRARY="gaisler"
907 LIBRARY="gaisler"
839 ENDFILE
908 ENDFILE
840 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
909 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
841 STATE="utd"
910 STATE="utd"
842 LIBRARY="gaisler"
911 LIBRARY="gaisler"
843 ENDFILE
912 ENDFILE
844 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
913 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
845 STATE="utd"
914 STATE="utd"
846 LIBRARY="gaisler"
915 LIBRARY="gaisler"
847 ENDFILE
916 ENDFILE
848 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
917 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
849 STATE="utd"
918 STATE="utd"
850 LIBRARY="gaisler"
919 LIBRARY="gaisler"
851 ENDFILE
920 ENDFILE
852 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
921 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
853 STATE="utd"
922 STATE="utd"
854 LIBRARY="gaisler"
923 LIBRARY="gaisler"
855 ENDFILE
924 ENDFILE
856 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
925 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
857 STATE="utd"
926 STATE="utd"
858 LIBRARY="gaisler"
927 LIBRARY="gaisler"
859 ENDFILE
928 ENDFILE
860 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
929 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
861 STATE="utd"
930 STATE="utd"
862 LIBRARY="gaisler"
931 LIBRARY="gaisler"
863 ENDFILE
932 ENDFILE
864 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
933 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
865 STATE="utd"
934 STATE="utd"
866 LIBRARY="gaisler"
935 LIBRARY="gaisler"
867 ENDFILE
936 ENDFILE
868 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
937 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
869 STATE="utd"
938 STATE="utd"
870 LIBRARY="gaisler"
939 LIBRARY="gaisler"
871 ENDFILE
940 ENDFILE
872 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
941 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
873 STATE="utd"
942 STATE="utd"
874 LIBRARY="gaisler"
943 LIBRARY="gaisler"
875 ENDFILE
944 ENDFILE
876 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
945 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
877 STATE="utd"
946 STATE="utd"
878 LIBRARY="gaisler"
947 LIBRARY="gaisler"
879 ENDFILE
948 ENDFILE
880 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
949 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
881 STATE="utd"
950 STATE="utd"
882 LIBRARY="gaisler"
951 LIBRARY="gaisler"
883 ENDFILE
952 ENDFILE
884 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
953 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
885 STATE="utd"
954 STATE="utd"
886 LIBRARY="gaisler"
955 LIBRARY="gaisler"
887 ENDFILE
956 ENDFILE
888 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
957 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
889 STATE="utd"
958 STATE="utd"
890 LIBRARY="gaisler"
959 LIBRARY="gaisler"
891 ENDFILE
960 ENDFILE
892 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
961 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
893 STATE="utd"
962 STATE="utd"
894 LIBRARY="gaisler"
963 LIBRARY="gaisler"
895 ENDFILE
964 ENDFILE
896 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
965 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
897 STATE="utd"
966 STATE="utd"
898 LIBRARY="gaisler"
967 LIBRARY="gaisler"
899 ENDFILE
968 ENDFILE
900 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
969 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
901 STATE="utd"
970 STATE="utd"
902 LIBRARY="gaisler"
971 LIBRARY="gaisler"
903 ENDFILE
972 ENDFILE
904 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
973 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
905 STATE="utd"
974 STATE="utd"
906 LIBRARY="gaisler"
975 LIBRARY="gaisler"
907 ENDFILE
976 ENDFILE
908 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
977 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
909 STATE="utd"
978 STATE="utd"
910 LIBRARY="gaisler"
979 LIBRARY="gaisler"
911 ENDFILE
980 ENDFILE
912 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
981 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
913 STATE="utd"
982 STATE="utd"
914 LIBRARY="gaisler"
983 LIBRARY="gaisler"
915 ENDFILE
984 ENDFILE
916 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
985 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
917 STATE="utd"
986 STATE="utd"
918 LIBRARY="gaisler"
987 LIBRARY="gaisler"
919 ENDFILE
988 ENDFILE
920 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
989 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
921 STATE="utd"
990 STATE="utd"
922 LIBRARY="gaisler"
991 LIBRARY="gaisler"
923 ENDFILE
992 ENDFILE
924 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
993 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
925 STATE="utd"
994 STATE="utd"
926 LIBRARY="gaisler"
995 LIBRARY="gaisler"
927 ENDFILE
996 ENDFILE
928 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
997 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
929 STATE="utd"
998 STATE="utd"
930 LIBRARY="gaisler"
999 LIBRARY="gaisler"
931 ENDFILE
1000 ENDFILE
932 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1001 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
933 STATE="utd"
1002 STATE="utd"
934 LIBRARY="gaisler"
1003 LIBRARY="gaisler"
935 ENDFILE
1004 ENDFILE
936 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1005 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
937 STATE="utd"
1006 STATE="utd"
938 LIBRARY="gaisler"
1007 LIBRARY="gaisler"
939 ENDFILE
1008 ENDFILE
940 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1009 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
941 STATE="utd"
1010 STATE="utd"
942 LIBRARY="gaisler"
1011 LIBRARY="gaisler"
943 ENDFILE
1012 ENDFILE
944 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1013 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
945 STATE="utd"
1014 STATE="utd"
946 LIBRARY="gaisler"
1015 LIBRARY="gaisler"
947 ENDFILE
1016 ENDFILE
948 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1017 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
949 STATE="utd"
1018 STATE="utd"
950 LIBRARY="gaisler"
1019 LIBRARY="gaisler"
951 ENDFILE
1020 ENDFILE
952 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1021 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
953 STATE="utd"
1022 STATE="utd"
954 LIBRARY="gaisler"
1023 LIBRARY="gaisler"
955 ENDFILE
1024 ENDFILE
956 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1025 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
957 STATE="utd"
1026 STATE="utd"
958 LIBRARY="esa"
1027 LIBRARY="esa"
959 ENDFILE
1028 ENDFILE
960 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1029 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
961 STATE="utd"
1030 STATE="utd"
962 LIBRARY="esa"
1031 LIBRARY="esa"
963 ENDFILE
1032 ENDFILE
964 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1033 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
965 STATE="utd"
1034 STATE="utd"
966 LIBRARY="fmf"
1035 LIBRARY="fmf"
967 ENDFILE
1036 ENDFILE
968 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1037 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
969 STATE="utd"
1038 STATE="utd"
970 LIBRARY="fmf"
1039 LIBRARY="fmf"
971 ENDFILE
1040 ENDFILE
972 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1041 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
973 STATE="utd"
1042 STATE="utd"
974 LIBRARY="fmf"
1043 LIBRARY="fmf"
975 ENDFILE
1044 ENDFILE
976 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1045 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
977 STATE="utd"
1046 STATE="utd"
978 LIBRARY="fmf"
1047 LIBRARY="fmf"
979 ENDFILE
1048 ENDFILE
980 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1049 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
981 STATE="utd"
1050 STATE="utd"
982 LIBRARY="fmf"
1051 LIBRARY="fmf"
983 ENDFILE
1052 ENDFILE
984 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1053 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
985 STATE="utd"
1054 STATE="utd"
986 LIBRARY="fmf"
1055 LIBRARY="fmf"
987 ENDFILE
1056 ENDFILE
988 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1057 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
989 STATE="utd"
1058 STATE="utd"
990 LIBRARY="gsi"
1059 LIBRARY="gsi"
991 ENDFILE
1060 ENDFILE
992 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1061 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
993 STATE="utd"
1062 STATE="utd"
994 LIBRARY="gsi"
1063 LIBRARY="gsi"
995 ENDFILE
1064 ENDFILE
996 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1065 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
997 STATE="utd"
1066 STATE="utd"
998 LIBRARY="gsi"
1067 LIBRARY="gsi"
999 ENDFILE
1068 ENDFILE
1000 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1069 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1001 STATE="utd"
1070 STATE="utd"
1002 LIBRARY="lpp"
1071 LIBRARY="lpp"
1003 ENDFILE
1072 ENDFILE
1004 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1073 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1005 STATE="utd"
1074 STATE="utd"
1006 LIBRARY="lpp"
1075 LIBRARY="lpp"
1007 ENDFILE
1076 ENDFILE
1008 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1077 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1009 STATE="utd"
1078 STATE="utd"
1010 LIBRARY="lpp"
1079 LIBRARY="lpp"
1011 ENDFILE
1080 ENDFILE
1012 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1081 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1013 STATE="utd"
1082 STATE="utd"
1014 LIBRARY="lpp"
1083 LIBRARY="lpp"
1015 ENDFILE
1084 ENDFILE
1016 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1085 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1017 STATE="utd"
1086 STATE="utd"
1018 LIBRARY="lpp"
1087 LIBRARY="lpp"
1019 ENDFILE
1088 ENDFILE
1020 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1089 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1021 STATE="utd"
1090 STATE="utd"
1022 LIBRARY="lpp"
1091 LIBRARY="lpp"
1023 ENDFILE
1092 ENDFILE
1024 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1093 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1025 STATE="utd"
1094 STATE="utd"
1026 LIBRARY="lpp"
1095 LIBRARY="lpp"
1027 ENDFILE
1096 ENDFILE
1028 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1097 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1029 STATE="utd"
1098 STATE="utd"
1030 LIBRARY="lpp"
1099 LIBRARY="lpp"
1031 ENDFILE
1100 ENDFILE
1032 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1101 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1033 STATE="utd"
1102 STATE="utd"
1034 LIBRARY="lpp"
1103 LIBRARY="lpp"
1035 ENDFILE
1104 ENDFILE
1036 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1105 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1037 STATE="utd"
1106 STATE="utd"
1038 LIBRARY="lpp"
1107 LIBRARY="lpp"
1039 ENDFILE
1108 ENDFILE
1040 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1109 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1041 STATE="utd"
1110 STATE="utd"
1042 LIBRARY="lpp"
1111 LIBRARY="lpp"
1043 ENDFILE
1112 ENDFILE
1044 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1113 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1045 STATE="utd"
1114 STATE="utd"
1046 LIBRARY="lpp"
1115 LIBRARY="lpp"
1047 ENDFILE
1116 ENDFILE
1048 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1117 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1049 STATE="utd"
1118 STATE="utd"
1050 LIBRARY="lpp"
1119 LIBRARY="lpp"
1051 ENDFILE
1120 ENDFILE
1052 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1121 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1053 STATE="utd"
1122 STATE="utd"
1054 LIBRARY="lpp"
1123 LIBRARY="lpp"
1055 ENDFILE
1124 ENDFILE
1056 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1125 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1057 STATE="utd"
1126 STATE="utd"
1058 LIBRARY="lpp"
1127 LIBRARY="lpp"
1059 ENDFILE
1128 ENDFILE
1060 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1129 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1061 STATE="utd"
1130 STATE="utd"
1062 LIBRARY="lpp"
1131 LIBRARY="lpp"
1063 ENDFILE
1132 ENDFILE
1064 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1133 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1065 STATE="utd"
1134 STATE="utd"
1066 LIBRARY="lpp"
1135 LIBRARY="lpp"
1067 ENDFILE
1136 ENDFILE
1068 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1137 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1069 STATE="utd"
1138 STATE="utd"
1070 LIBRARY="lpp"
1139 LIBRARY="lpp"
1071 ENDFILE
1140 ENDFILE
1072 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1141 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1073 STATE="utd"
1142 STATE="utd"
1074 LIBRARY="lpp"
1143 LIBRARY="lpp"
1075 ENDFILE
1144 ENDFILE
1076 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1145 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1077 STATE="utd"
1146 STATE="utd"
1078 LIBRARY="lpp"
1147 LIBRARY="lpp"
1079 ENDFILE
1148 ENDFILE
1080 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1149 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1081 STATE="utd"
1150 STATE="utd"
1082 LIBRARY="lpp"
1151 LIBRARY="lpp"
1083 ENDFILE
1152 ENDFILE
1084 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1153 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1085 STATE="utd"
1154 STATE="utd"
1086 LIBRARY="lpp"
1155 LIBRARY="lpp"
1087 ENDFILE
1156 ENDFILE
1157 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1158 STATE="utd"
1159 LIBRARY="lpp"
1160 ENDFILE
1088 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1161 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1089 STATE="utd"
1162 STATE="utd"
1090 LIBRARY="lpp"
1163 LIBRARY="lpp"
1091 ENDFILE
1164 ENDFILE
1092 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1165 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1093 STATE="utd"
1166 STATE="utd"
1094 LIBRARY="lpp"
1167 LIBRARY="lpp"
1095 ENDFILE
1168 ENDFILE
1096 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1169 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1097 STATE="utd"
1170 STATE="utd"
1098 LIBRARY="lpp"
1171 LIBRARY="lpp"
1099 ENDFILE
1172 ENDFILE
1100 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1173 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1101 STATE="utd"
1174 STATE="utd"
1102 LIBRARY="lpp"
1175 LIBRARY="lpp"
1103 ENDFILE
1176 ENDFILE
1104 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1177 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1105 STATE="utd"
1178 STATE="utd"
1106 LIBRARY="lpp"
1179 LIBRARY="lpp"
1107 ENDFILE
1180 ENDFILE
1108 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1181 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1109 STATE="utd"
1182 STATE="utd"
1110 LIBRARY="lpp"
1183 LIBRARY="lpp"
1111 ENDFILE
1184 ENDFILE
1112 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1185 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1113 STATE="utd"
1186 STATE="utd"
1114 LIBRARY="lpp"
1187 LIBRARY="lpp"
1115 ENDFILE
1188 ENDFILE
1116 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1189 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1117 STATE="utd"
1190 STATE="utd"
1118 LIBRARY="lpp"
1191 LIBRARY="lpp"
1119 ENDFILE
1192 ENDFILE
1120 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1193 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1121 STATE="utd"
1194 STATE="utd"
1122 LIBRARY="lpp"
1195 LIBRARY="lpp"
1123 ENDFILE
1196 ENDFILE
1124 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1197 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1125 STATE="utd"
1198 STATE="utd"
1126 LIBRARY="lpp"
1199 LIBRARY="lpp"
1127 ENDFILE
1200 ENDFILE
1128 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1201 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1129 STATE="utd"
1202 STATE="utd"
1130 LIBRARY="lpp"
1203 LIBRARY="lpp"
1131 ENDFILE
1204 ENDFILE
1132 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1205 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1133 STATE="utd"
1206 STATE="utd"
1134 LIBRARY="lpp"
1207 LIBRARY="lpp"
1135 ENDFILE
1208 ENDFILE
1136 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1209 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1137 STATE="utd"
1210 STATE="utd"
1138 LIBRARY="lpp"
1211 LIBRARY="lpp"
1139 ENDFILE
1212 ENDFILE
1140 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1213 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1141 STATE="utd"
1214 STATE="utd"
1142 LIBRARY="lpp"
1215 LIBRARY="lpp"
1143 ENDFILE
1216 ENDFILE
1144 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1217 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1145 STATE="utd"
1218 STATE="utd"
1146 LIBRARY="lpp"
1219 LIBRARY="lpp"
1147 ENDFILE
1220 ENDFILE
1148 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1221 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1149 STATE="utd"
1222 STATE="utd"
1150 LIBRARY="lpp"
1223 LIBRARY="lpp"
1151 ENDFILE
1224 ENDFILE
1152 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1225 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1153 STATE="utd"
1226 STATE="utd"
1154 LIBRARY="lpp"
1227 LIBRARY="lpp"
1155 ENDFILE
1228 ENDFILE
1156 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1229 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1157 STATE="utd"
1230 STATE="utd"
1158 LIBRARY="lpp"
1231 LIBRARY="lpp"
1159 ENDFILE
1232 ENDFILE
1160 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1233 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1161 STATE="utd"
1234 STATE="utd"
1162 LIBRARY="lpp"
1235 LIBRARY="lpp"
1163 ENDFILE
1236 ENDFILE
1164 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1237 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1165 STATE="utd"
1238 STATE="utd"
1166 LIBRARY="lpp"
1239 LIBRARY="lpp"
1167 ENDFILE
1240 ENDFILE
1168 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1241 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1169 STATE="utd"
1242 STATE="utd"
1170 LIBRARY="lpp"
1243 LIBRARY="lpp"
1171 ENDFILE
1244 ENDFILE
1172 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1245 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1173 STATE="utd"
1246 STATE="utd"
1174 LIBRARY="lpp"
1247 LIBRARY="lpp"
1175 ENDFILE
1248 ENDFILE
1176 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1249 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1177 STATE="utd"
1250 STATE="utd"
1178 LIBRARY="lpp"
1251 LIBRARY="lpp"
1179 ENDFILE
1252 ENDFILE
1180 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1253 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1181 STATE="utd"
1254 STATE="utd"
1182 LIBRARY="lpp"
1255 LIBRARY="lpp"
1183 ENDFILE
1256 ENDFILE
1257 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
1258 STATE="utd"
1259 LIBRARY="lpp"
1260 ENDFILE
1261 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
1262 STATE="utd"
1263 LIBRARY="lpp"
1264 ENDFILE
1265 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
1266 STATE="utd"
1267 LIBRARY="lpp"
1268 ENDFILE
1269 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
1270 STATE="utd"
1271 LIBRARY="lpp"
1272 ENDFILE
1273 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
1274 STATE="utd"
1275 LIBRARY="lpp"
1276 ENDFILE
1277 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
1278 STATE="utd"
1279 LIBRARY="lpp"
1280 ENDFILE
1281 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
1282 STATE="utd"
1283 LIBRARY="lpp"
1284 ENDFILE
1285 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1286 STATE="utd"
1287 LIBRARY="lpp"
1288 ENDFILE
1184 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1289 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1185 STATE="utd"
1290 STATE="utd"
1186 LIBRARY="lpp"
1291 LIBRARY="lpp"
1187 ENDFILE
1292 ENDFILE
1188 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1293 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1189 STATE="utd"
1294 STATE="utd"
1190 LIBRARY="lpp"
1295 LIBRARY="lpp"
1191 ENDFILE
1296 ENDFILE
1192 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1297 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1193 STATE="utd"
1298 STATE="utd"
1194 LIBRARY="lpp"
1299 LIBRARY="lpp"
1195 ENDFILE
1300 ENDFILE
1196 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1301 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1197 STATE="utd"
1302 STATE="utd"
1198 LIBRARY="lpp"
1303 LIBRARY="lpp"
1199 ENDFILE
1304 ENDFILE
1200 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1305 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1201 STATE="utd"
1306 STATE="utd"
1202 LIBRARY="lpp"
1307 LIBRARY="lpp"
1203 ENDFILE
1308 ENDFILE
1204 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1309 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1205 STATE="utd"
1310 STATE="utd"
1206 LIBRARY="lpp"
1311 LIBRARY="lpp"
1207 ENDFILE
1312 ENDFILE
1208 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1313 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1209 STATE="utd"
1314 STATE="utd"
1210 LIBRARY="lpp"
1315 LIBRARY="lpp"
1211 ENDFILE
1316 ENDFILE
1317 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
1318 STATE="utd"
1319 LIBRARY="lpp"
1320 ENDFILE
1321 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
1322 STATE="utd"
1323 LIBRARY="lpp"
1324 ENDFILE
1325 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
1326 STATE="utd"
1327 LIBRARY="lpp"
1328 ENDFILE
1329 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
1330 STATE="utd"
1331 LIBRARY="lpp"
1332 ENDFILE
1333 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
1334 STATE="utd"
1335 LIBRARY="lpp"
1336 ENDFILE
1337 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
1338 STATE="utd"
1339 LIBRARY="lpp"
1340 ENDFILE
1341 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
1342 STATE="utd"
1343 LIBRARY="lpp"
1344 ENDFILE
1345 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
1346 STATE="utd"
1347 LIBRARY="lpp"
1348 ENDFILE
1349 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
1350 STATE="utd"
1351 LIBRARY="lpp"
1352 ENDFILE
1353 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
1354 STATE="utd"
1355 LIBRARY="lpp"
1356 ENDFILE
1357 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
1358 STATE="utd"
1359 LIBRARY="lpp"
1360 ENDFILE
1361 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1362 STATE="utd"
1363 LIBRARY="lpp"
1364 ENDFILE
1212 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1365 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1213 STATE="utd"
1366 STATE="utd"
1214 LIBRARY="lpp"
1367 LIBRARY="lpp"
1215 ENDFILE
1368 ENDFILE
1216 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1369 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1217 STATE="utd"
1370 STATE="utd"
1218 LIBRARY="lpp"
1371 LIBRARY="lpp"
1219 ENDFILE
1372 ENDFILE
1220 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1373 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1221 STATE="utd"
1374 STATE="utd"
1222 LIBRARY="lpp"
1375 LIBRARY="lpp"
1223 ENDFILE
1376 ENDFILE
1224 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1377 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1225 STATE="utd"
1378 STATE="utd"
1226 LIBRARY="lpp"
1379 LIBRARY="lpp"
1227 ENDFILE
1380 ENDFILE
1228 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1381 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1229 STATE="utd"
1382 STATE="utd"
1230 LIBRARY="lpp"
1383 LIBRARY="lpp"
1231 ENDFILE
1384 ENDFILE
1232 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1385 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1233 STATE="utd"
1386 STATE="utd"
1234 LIBRARY="lpp"
1387 LIBRARY="lpp"
1235 ENDFILE
1388 ENDFILE
1236 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1389 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1237 STATE="utd"
1390 STATE="utd"
1238 LIBRARY="lpp"
1391 LIBRARY="lpp"
1239 ENDFILE
1392 ENDFILE
1240 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1393 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1241 STATE="utd"
1394 STATE="utd"
1242 LIBRARY="lpp"
1395 LIBRARY="lpp"
1243 ENDFILE
1396 ENDFILE
1244 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1397 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1245 STATE="utd"
1246 LIBRARY="lpp"
1247 ENDFILE
1248 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1249 STATE="utd"
1398 STATE="utd"
1250 LIBRARY="lpp"
1399 LIBRARY="lpp"
1251 ENDFILE
1400 ENDFILE
1252 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1401 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1253 STATE="utd"
1402 STATE="utd"
1254 LIBRARY="lpp"
1403 LIBRARY="lpp"
1255 ENDFILE
1404 ENDFILE
1256 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1405 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1257 STATE="utd"
1406 STATE="utd"
1258 LIBRARY="lpp"
1407 LIBRARY="lpp"
1259 ENDFILE
1408 ENDFILE
1260 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1409 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1261 STATE="utd"
1410 STATE="utd"
1262 LIBRARY="lpp"
1411 LIBRARY="lpp"
1263 ENDFILE
1412 ENDFILE
1264 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1413 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1265 STATE="utd"
1414 STATE="utd"
1266 LIBRARY="lpp"
1415 LIBRARY="lpp"
1267 ENDFILE
1416 ENDFILE
1268 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1417 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1269 STATE="utd"
1418 STATE="utd"
1270 LIBRARY="lpp"
1419 LIBRARY="lpp"
1271 ENDFILE
1420 ENDFILE
1272 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1421 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1273 STATE="utd"
1422 STATE="utd"
1274 LIBRARY="lpp"
1423 LIBRARY="lpp"
1275 ENDFILE
1424 ENDFILE
1425 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
1426 STATE="utd"
1427 LIBRARY="lpp"
1428 ENDFILE
1429 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1430 STATE="utd"
1431 LIBRARY="lpp"
1432 ENDFILE
1276 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1433 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1277 STATE="utd"
1434 STATE="utd"
1278 LIBRARY="cypress"
1435 LIBRARY="cypress"
1279 ENDFILE
1436 ENDFILE
1280 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1437 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1281 STATE="utd"
1438 STATE="utd"
1282 LIBRARY="cypress"
1439 LIBRARY="cypress"
1283 ENDFILE
1440 ENDFILE
1284 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1441 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1285 STATE="utd"
1442 STATE="utd"
1286 LIBRARY="cypress"
1443 LIBRARY="cypress"
1287 ENDFILE
1444 ENDFILE
1288 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1445 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1289 STATE="utd"
1446 STATE="utd"
1290 LIBRARY="cypress"
1447 LIBRARY="cypress"
1291 ENDFILE
1448 ENDFILE
1292 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1449 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1293 STATE="utd"
1450 STATE="utd"
1294 LIBRARY="work"
1451 LIBRARY="work"
1295 ENDFILE
1452 ENDFILE
1296 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1453 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1297 STATE="utd"
1454 STATE="utd"
1298 LIBRARY="work"
1455 LIBRARY="work"
1299 ENDFILE
1456 ENDFILE
1300 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1457 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1301 STATE="utd"
1458 STATE="utd"
1302 LIBRARY="work"
1459 LIBRARY="work"
1303 ENDFILE
1460 ENDFILE
1304 VALUE "<project>/config.vhd,hdl"
1461 VALUE "<project>/config.vhd,hdl"
1305 STATE="utd"
1462 STATE="utd"
1306 LIBRARY="work"
1463 LIBRARY="work"
1307 ENDFILE
1464 ENDFILE
1308 VALUE "<project>/ahbrom.vhd,hdl"
1465 VALUE "<project>/ahbrom.vhd,hdl"
1309 STATE="utd"
1466 STATE="utd"
1310 LIBRARY="work"
1467 LIBRARY="work"
1311 ENDFILE
1468 ENDFILE
1312 VALUE "<project>/leon3mp.vhd,hdl"
1469 VALUE "<project>/leon3mp.vhd,hdl"
1313 STATE="utd"
1470 STATE="utd"
1314 LIBRARY="work"
1471 LIBRARY="work"
1315 ENDFILE
1472 ENDFILE
1316 VALUE "<project>/../../boards/LFR-142200-DM/LFR-142200-DM-MINIAMBA.pdc,pdc"
1473 VALUE "<project>/../../boards/LFR-142200-DM/LFR-142200-DM-MINIAMBA.pdc,pdc"
1317 STATE="utd"
1474 STATE="utd"
1318 ENDFILE
1475 ENDFILE
1319 ENDLIST
1476 ENDLIST
1320 LIST SimulationOptions
1477 LIST SimulationOptions
1321 ENDLIST
1478 ENDLIST
1322 LIST ExcludePackageForSimulation
1479 LIST ExcludePackageForSimulation
1323 LIST top
1480 LIST top
1324 ENDLIST
1481 ENDLIST
1325 ENDLIST
1482 ENDLIST
1326 LIST ExcludePackageForSynthesis
1483 LIST ExcludePackageForSynthesis
1327 LIST top
1484 LIST top
1328 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1485 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1329 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1486 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1330 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1487 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1331 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1488 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1332 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1489 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1333 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1490 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1334 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1491 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1492 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
1335 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1493 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1336 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1494 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1337 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
1495 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
1338 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
1496 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
1339 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1497 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1340 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
1498 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
1341 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
1499 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
1342 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
1500 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
1343 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
1501 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
1344 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
1502 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
1345 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1503 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1346 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
1504 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
1347 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
1505 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
1348 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
1506 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
1349 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
1507 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
1350 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
1508 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
1351 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
1509 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
1352 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1510 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1353 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1511 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1354 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1512 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1355 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1513 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1356 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1514 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1357 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1515 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1358 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1516 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1359 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1517 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1360 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1518 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1361 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1519 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1362 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1520 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1363 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1521 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1364 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1522 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1365 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1523 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1366 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1524 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1367 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1525 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1368 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1526 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1369 VALUE "<project>/config.vhd,hdl"
1527 VALUE "<project>/config.vhd,hdl"
1370 VALUE "<project>/ahbrom.vhd,hdl"
1528 VALUE "<project>/ahbrom.vhd,hdl"
1371 VALUE "<project>/leon3mp.vhd,hdl"
1529 VALUE "<project>/leon3mp.vhd,hdl"
1372 VALUE "<project>/testbench.vhd,tb_hdl"
1530 VALUE "<project>/testbench.vhd,tb_hdl"
1373 ENDLIST
1531 ENDLIST
1374 ENDLIST
1532 ENDLIST
1375 LIST IncludeModuleForSimulation
1533 LIST IncludeModuleForSimulation
1376 ENDLIST
1534 ENDLIST
1377 LIST UserCustomizedFileList
1535 LIST UserCustomizedFileList
1378 LIST "top"
1536 LIST "top"
1379 LIST "ideSYNTHESIS"
1537 LIST "ideSYNTHESIS"
1380 USE_LIST=TRUE
1538 USE_LIST=TRUE
1381 FILELIST
1539 FILELIST
1382 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1540 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1383 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1541 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1384 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1542 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1385 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1543 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1386 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1544 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1387 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1545 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1388 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1546 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1389 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1547 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1390 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1548 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1391 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1549 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1392 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1550 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1393 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1551 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1394 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1552 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1395 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1553 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1396 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1554 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1397 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1555 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1556 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
1398 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1557 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1399 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1558 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1400 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1559 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1560 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
1561 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
1562 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
1563 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
1564 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
1565 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
1566 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
1567 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
1568 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
1569 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
1570 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
1571 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
1572 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
1573 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
1401 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1574 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1402 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1575 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1403 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1576 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1404 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1577 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1405 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1578 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1406 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1579 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1407 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1580 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1408 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1581 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1409 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1582 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1410 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1583 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1411 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1584 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1412 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1585 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1413 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1586 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1414 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1587 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1415 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1588 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1416 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1589 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1417 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1590 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1418 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1591 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1419 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1592 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1420 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1593 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1421 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1594 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1422 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1595 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1423 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1596 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1424 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1597 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1425 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1598 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1426 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1599 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1427 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1600 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1428 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1601 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1429 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1602 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1430 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1603 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1431 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1604 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1432 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1605 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1433 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1606 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1434 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1607 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1435 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1608 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1436 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1609 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1437 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1610 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1438 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1611 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1439 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1612 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1440 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1613 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1441 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1614 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1442 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1615 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1443 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1616 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1444 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1617 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1445 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1618 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1446 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1619 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1447 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1620 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1448 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1621 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1449 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1622 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1450 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1623 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1451 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1624 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1452 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1625 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1453 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1626 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1454 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1627 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1455 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1628 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1456 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1629 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1457 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1630 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1458 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1631 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1459 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1632 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1460 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1633 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1461 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1634 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1462 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1635 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1463 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1636 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1464 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1637 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1465 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1638 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1466 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1639 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1467 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1640 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1468 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1641 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1469 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1642 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1470 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1643 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1471 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1644 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1472 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1645 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1473 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1646 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1474 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1647 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1475 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1648 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1476 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1649 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1477 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1650 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1478 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1651 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1479 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1652 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1480 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1653 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1481 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1654 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1482 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1655 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1483 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1656 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1484 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1657 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1485 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1658 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1486 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1659 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1487 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1660 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1488 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1661 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1489 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1662 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1490 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1663 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1491 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1664 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1492 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1665 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1493 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1666 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1494 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1667 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1495 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1668 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1496 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1669 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1497 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1670 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1498 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1671 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1499 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1672 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1500 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1673 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1501 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1674 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1502 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1675 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1503 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1676 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1504 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1677 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1505 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1678 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1506 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1679 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1507 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1680 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1508 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1681 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1509 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1682 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1510 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1683 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1511 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1684 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1512 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1685 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1513 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1686 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1514 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
1687 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
1515 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
1688 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
1516 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
1689 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
1517 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
1690 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
1518 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
1691 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
1519 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
1692 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
1520 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
1693 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
1521 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
1694 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
1522 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
1695 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
1523 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
1696 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
1524 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
1697 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
1525 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
1698 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
1526 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1699 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1527 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
1700 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
1528 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
1701 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
1529 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1702 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1530 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
1703 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
1531 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1704 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1532 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
1705 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
1533 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
1706 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
1534 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1707 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1535 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
1708 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
1536 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
1709 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
1537 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1710 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1538 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
1711 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
1539 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
1712 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
1540 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
1713 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
1541 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1714 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1542 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
1715 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
1543 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1716 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1544 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
1717 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
1545 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
1718 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
1546 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
1719 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
1547 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
1720 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
1548 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
1721 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
1549 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
1722 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
1550 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
1723 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
1551 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
1724 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
1552 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
1725 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
1553 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
1726 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
1554 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1727 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1555 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1728 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1556 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
1729 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
1557 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1730 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1558 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1731 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1559 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1732 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1560 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1733 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1561 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
1734 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
1562 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
1735 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
1563 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1736 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1564 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
1737 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
1565 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
1738 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
1566 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1739 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1567 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
1740 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
1568 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1741 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1569 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1742 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1570 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1743 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1571 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1744 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1572 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1745 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1573 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1746 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1574 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1747 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1575 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1748 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1576 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1749 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1577 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1750 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1578 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1751 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1579 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1752 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1580 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1753 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1581 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1754 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1582 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1755 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1583 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1756 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1584 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1757 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1585 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1758 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1586 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1759 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1587 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1760 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1588 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1761 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1589 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1762 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1590 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1763 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1591 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1764 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1592 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1765 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1593 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1766 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1594 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1767 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1595 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1768 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1596 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1769 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1597 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1770 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1771 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1598 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1772 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1599 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1773 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1600 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1774 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1601 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1775 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1602 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1776 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1603 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1777 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1604 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1778 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1605 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1779 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1606 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1780 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1607 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1781 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1608 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1782 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1609 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1783 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1610 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1784 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1611 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1785 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1612 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1786 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1613 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1787 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1614 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1788 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1615 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1789 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1616 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1790 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1617 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1791 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1618 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1792 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1619 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1793 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1620 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1794 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1621 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1795 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1796 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
1797 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
1798 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
1799 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
1800 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
1801 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
1802 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
1803 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1622 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1804 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1623 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1805 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1624 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1806 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1625 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1807 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1626 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1808 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1627 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1809 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1628 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1810 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1811 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
1812 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
1813 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
1814 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
1815 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
1816 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
1817 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
1818 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
1819 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
1820 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
1821 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
1822 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1629 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1823 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1630 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1824 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1631 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1825 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1632 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1826 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1633 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1827 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1634 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1828 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1635 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1829 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1830 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1636 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1831 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1637 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1638 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1639 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1832 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1640 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1833 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1641 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1834 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1642 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1835 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1643 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1836 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1644 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1837 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1838 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
1839 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1645 VALUE "<project>/config.vhd,hdl"
1840 VALUE "<project>/config.vhd,hdl"
1646 VALUE "<project>/ahbrom.vhd,hdl"
1841 VALUE "<project>/ahbrom.vhd,hdl"
1647 VALUE "<project>/leon3mp.vhd,hdl"
1842 VALUE "<project>/leon3mp.vhd,hdl"
1648 ENDFILELIST
1843 ENDFILELIST
1649 ENDLIST
1844 ENDLIST
1650 LIST "ideSIMULATION"
1845 LIST "ideSIMULATION"
1651 USE_LIST=TRUE
1846 USE_LIST=TRUE
1652 FILELIST
1847 FILELIST
1653 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1848 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1654 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1849 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1655 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1850 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1656 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1851 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1657 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1852 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1658 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1853 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1659 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1854 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1660 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1855 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1661 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1856 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1662 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1857 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1663 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1858 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1664 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1859 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1665 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1860 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1666 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1861 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1667 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1862 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1668 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1863 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1669 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1864 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1670 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1865 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1671 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1866 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1672 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1867 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1868 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
1673 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1869 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1674 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1870 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1675 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1871 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1676 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1872 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1677 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1873 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1874 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
1678 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1875 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1679 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1876 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1680 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1877 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1878 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
1879 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
1880 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
1881 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
1882 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
1883 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
1884 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
1885 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
1886 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
1887 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
1888 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
1889 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
1890 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
1891 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
1681 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1892 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1682 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1893 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1683 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1894 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1684 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1895 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1685 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1896 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1686 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1897 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1687 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1898 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1688 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1899 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1689 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1900 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1690 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1901 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1691 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1902 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1692 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1903 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1693 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1904 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1694 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1905 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1695 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1906 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1696 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1907 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1697 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1908 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1698 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1909 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1699 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1910 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1700 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1911 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1701 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1912 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1702 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1913 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1703 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1914 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1704 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1915 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1705 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1916 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1706 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1917 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1707 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1918 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1708 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1919 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1709 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1920 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1710 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1921 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1711 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1922 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1712 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1923 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1713 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1924 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1714 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1925 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1715 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1926 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1716 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1927 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1717 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1928 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1718 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1929 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1719 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1930 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1720 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1931 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1721 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1932 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1722 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1933 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1723 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1934 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1724 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1935 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1725 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1936 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1726 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1937 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1727 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1938 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1728 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1939 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1729 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1940 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1730 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1941 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1731 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1942 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1732 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1943 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1733 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1944 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1734 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1945 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1735 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1946 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1736 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1947 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1737 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1948 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1738 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1949 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1739 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1950 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1740 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1951 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1741 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1952 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1742 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1953 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1743 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1954 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1744 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1955 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1745 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1956 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1746 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1957 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1747 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1958 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1748 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1959 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1749 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1960 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1750 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1961 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1751 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1962 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1752 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1963 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1753 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1964 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1754 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1965 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1755 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1966 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1756 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1967 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1757 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1968 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1758 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1969 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1759 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1970 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1760 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1971 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1761 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1972 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1762 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1973 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1763 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1974 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1764 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1975 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1765 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1976 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1766 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1977 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1767 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1978 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1768 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1979 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1769 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1980 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1770 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1981 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1771 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1982 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1772 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1983 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1773 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1984 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1774 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1985 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1775 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1986 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1776 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1987 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1777 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1988 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1778 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1989 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1779 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1990 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1780 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1991 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1781 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1992 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1782 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1993 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1783 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1994 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1784 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1995 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1785 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1996 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1786 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1997 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1787 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1998 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1788 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1999 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1789 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
2000 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1790 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
2001 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1791 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
2002 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1792 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
2003 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1793 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
2004 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1794 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
2005 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
1795 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
2006 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
1796 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
2007 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
1797 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
2008 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
1798 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
2009 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
1799 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
2010 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
1800 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
2011 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
1801 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
2012 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
1802 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
2013 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
1803 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
2014 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
1804 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
2015 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
1805 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
2016 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
1806 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
2017 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1807 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
2018 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
1808 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
2019 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
1809 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
2020 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1810 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
2021 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
1811 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
2022 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1812 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
2023 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
1813 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
2024 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
1814 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
2025 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1815 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
2026 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
1816 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
2027 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
1817 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
2028 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1818 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
2029 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
1819 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
2030 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
1820 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
2031 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
1821 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
2032 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1822 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
2033 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
1823 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
2034 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1824 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
2035 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
1825 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
2036 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
1826 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
2037 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
1827 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
2038 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
1828 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
2039 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
1829 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
2040 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
1830 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
2041 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
1831 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
2042 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
1832 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
2043 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
1833 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
2044 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
1834 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
2045 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
1835 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
2046 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
1836 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
2047 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1837 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
2048 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1838 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
2049 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1839 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
2050 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
1840 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
2051 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
1841 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
2052 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
1842 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
2053 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
1843 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
2054 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
1844 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
2055 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1845 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
2056 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
1846 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
2057 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
1847 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
2058 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
1848 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
2059 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
1849 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
2060 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
1850 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
2061 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
1851 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
2062 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
1852 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
2063 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1853 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
2064 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1854 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
2065 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1855 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
2066 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1856 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
2067 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
1857 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
2068 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
1858 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
2069 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1859 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
2070 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1860 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
2071 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
1861 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
2072 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
1862 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
2073 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1863 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
2074 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
1864 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
2075 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1865 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
2076 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1866 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
2077 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1867 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
2078 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1868 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
2079 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1869 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
2080 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1870 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
2081 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1871 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
2082 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1872 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
2083 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1873 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
2084 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1874 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
2085 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1875 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
2086 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1876 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
2087 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1877 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
2088 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1878 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
2089 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1879 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
2090 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1880 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
2091 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1881 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
2092 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1882 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
2093 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1883 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
2094 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1884 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
2095 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1885 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
2096 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1886 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
2097 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1887 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
2098 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1888 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
2099 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1889 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
2100 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1890 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
2101 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1891 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
2102 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1892 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
2103 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1893 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
2104 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1894 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
2105 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1895 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
2106 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1896 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
2107 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1897 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
2108 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1898 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
2109 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1899 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
2110 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1900 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
2111 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1901 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
2112 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1902 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
2113 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
2114 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1903 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
2115 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1904 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
2116 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1905 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
2117 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1906 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
2118 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1907 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
2119 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1908 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
2120 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1909 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
2121 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1910 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
2122 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1911 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
2123 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1912 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
2124 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1913 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
2125 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1914 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
2126 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1915 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
2127 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1916 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
2128 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1917 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
2129 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1918 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
2130 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1919 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
2131 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1920 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
2132 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1921 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
2133 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1922 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
2134 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1923 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
2135 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1924 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
2136 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1925 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
2137 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1926 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
2138 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
2139 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
2140 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
2141 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
2142 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
2143 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
2144 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
2145 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
2146 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1927 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
2147 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1928 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
2148 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1929 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
2149 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1930 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
2150 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1931 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
2151 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1932 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
2152 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1933 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
2153 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
2154 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
2155 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
2156 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
2157 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
2158 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
2159 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
2160 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
2161 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
2162 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
2163 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
2164 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
2165 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1934 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
2166 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1935 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
2167 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1936 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
2168 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1937 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
2169 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1938 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
2170 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1939 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
2171 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1940 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
2172 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
2173 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1941 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
2174 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1942 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1943 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1944 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
2175 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1945 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
2176 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1946 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
2177 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1947 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
2178 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1948 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
2179 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1949 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
2180 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
2181 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
2182 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1950 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
2183 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1951 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
2184 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1952 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
2185 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1953 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
2186 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1954 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
2187 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1955 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
2188 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1956 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
2189 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1957 VALUE "<project>/config.vhd,hdl"
2190 VALUE "<project>/config.vhd,hdl"
1958 VALUE "<project>/ahbrom.vhd,hdl"
2191 VALUE "<project>/ahbrom.vhd,hdl"
1959 VALUE "<project>/leon3mp.vhd,hdl"
2192 VALUE "<project>/leon3mp.vhd,hdl"
1960 ENDFILELIST
2193 ENDFILELIST
1961 ENDLIST
2194 ENDLIST
1962 ENDLIST
2195 ENDLIST
1963 ENDLIST
2196 ENDLIST
@@ -1,1661 +1,1788
1 # Doxyfile 1.7.1
1 # Doxyfile 1.7.5.1
2
2
3 # This file describes the settings to be used by the documentation system
3 # This file describes the settings to be used by the documentation system
4 # doxygen (www.doxygen.org) for a project
4 # doxygen (www.doxygen.org) for a project
5 #
5 #
6 # All text after a hash (#) is considered a comment and will be ignored
6 # All text after a hash (#) is considered a comment and will be ignored
7 # The format is:
7 # The format is:
8 # TAG = value [value, ...]
8 # TAG = value [value, ...]
9 # For lists items can also be appended using:
9 # For lists items can also be appended using:
10 # TAG += value [value, ...]
10 # TAG += value [value, ...]
11 # Values that contain spaces should be placed between quotes (" ")
11 # Values that contain spaces should be placed between quotes (" ")
12
12
13 #---------------------------------------------------------------------------
13 #---------------------------------------------------------------------------
14 # Project related configuration options
14 # Project related configuration options
15 #---------------------------------------------------------------------------
15 #---------------------------------------------------------------------------
16
16
17 # This tag specifies the encoding used for all characters in the config file
17 # This tag specifies the encoding used for all characters in the config file
18 # that follow. The default is UTF-8 which is also the encoding used for all
18 # that follow. The default is UTF-8 which is also the encoding used for all
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 # iconv built into libc) for the transcoding. See
20 # iconv built into libc) for the transcoding. See
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22
22
23 DOXYFILE_ENCODING = UTF-8
23 DOXYFILE_ENCODING = UTF-8
24
24
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
25 # The PROJECT_NAME tag is a single word (or sequence of words) that should
26 # by quotes) that should identify the project.
26 # identify the project. Note that if you do not use Doxywizard you need
27 # to put quotes around the project name if it contains spaces.
27
28
28 PROJECT_NAME = lib-lpp
29 PROJECT_NAME = lib-lpp
29
30
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 # This could be handy for archiving the generated documentation or
32 # This could be handy for archiving the generated documentation or
32 # if some version control system is used.
33 # if some version control system is used.
33
34
34 PROJECT_NUMBER = 0.4
35 PROJECT_NUMBER = 1.0
36
37 # Using the PROJECT_BRIEF tag one can provide an optional one line description
38 # for a project that appears at the top of each page and should give viewer
39 # a quick idea about the purpose of the project. Keep the description short.
40
41 PROJECT_BRIEF =
42
43 # With the PROJECT_LOGO tag one can specify an logo or icon that is
44 # included in the documentation. The maximum height of the logo should not
45 # exceed 55 pixels and the maximum width should not exceed 200 pixels.
46 # Doxygen will copy the logo to the output directory.
47
48 PROJECT_LOGO =
35
49
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
50 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 # base path where the generated documentation will be put.
51 # base path where the generated documentation will be put.
38 # If a relative path is entered, it will be relative to the location
52 # If a relative path is entered, it will be relative to the location
39 # where doxygen was started. If left blank the current directory will be used.
53 # where doxygen was started. If left blank the current directory will be used.
40
54
41 OUTPUT_DIRECTORY = ../../doc/
55 OUTPUT_DIRECTORY = ../../doc/
42
56
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
57 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
58 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 # format and will distribute the generated files over these directories.
59 # format and will distribute the generated files over these directories.
46 # Enabling this option can be useful when feeding doxygen a huge amount of
60 # Enabling this option can be useful when feeding doxygen a huge amount of
47 # source files, where putting all generated files in the same directory would
61 # source files, where putting all generated files in the same directory would
48 # otherwise cause performance problems for the file system.
62 # otherwise cause performance problems for the file system.
49
63
50 CREATE_SUBDIRS = NO
64 CREATE_SUBDIRS = NO
51
65
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
66 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 # documentation generated by doxygen is written. Doxygen will use this
67 # documentation generated by doxygen is written. Doxygen will use this
54 # information to generate all constant output in the proper language.
68 # information to generate all constant output in the proper language.
55 # The default language is English, other supported languages are:
69 # The default language is English, other supported languages are:
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
70 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
71 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
72 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
73 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
74 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrillic, Slovak,
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
75 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62
76
63 OUTPUT_LANGUAGE = English
77 OUTPUT_LANGUAGE = English
64
78
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
79 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 # include brief member descriptions after the members that are listed in
80 # include brief member descriptions after the members that are listed in
67 # the file and class documentation (similar to JavaDoc).
81 # the file and class documentation (similar to JavaDoc).
68 # Set to NO to disable this.
82 # Set to NO to disable this.
69
83
70 BRIEF_MEMBER_DESC = YES
84 BRIEF_MEMBER_DESC = YES
71
85
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
86 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 # the brief description of a member or function before the detailed description.
87 # the brief description of a member or function before the detailed description.
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
88 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 # brief descriptions will be completely suppressed.
89 # brief descriptions will be completely suppressed.
76
90
77 REPEAT_BRIEF = YES
91 REPEAT_BRIEF = YES
78
92
79 # This tag implements a quasi-intelligent brief description abbreviator
93 # This tag implements a quasi-intelligent brief description abbreviator
80 # that is used to form the text in various listings. Each string
94 # that is used to form the text in various listings. Each string
81 # in this list, if found as the leading text of the brief description, will be
95 # in this list, if found as the leading text of the brief description, will be
82 # stripped from the text and the result after processing the whole list, is
96 # stripped from the text and the result after processing the whole list, is
83 # used as the annotated text. Otherwise, the brief description is used as-is.
97 # used as the annotated text. Otherwise, the brief description is used as-is.
84 # If left blank, the following values are used ("$name" is automatically
98 # If left blank, the following values are used ("$name" is automatically
85 # replaced with the name of the entity): "The $name class" "The $name widget"
99 # replaced with the name of the entity): "The $name class" "The $name widget"
86 # "The $name file" "is" "provides" "specifies" "contains"
100 # "The $name file" "is" "provides" "specifies" "contains"
87 # "represents" "a" "an" "the"
101 # "represents" "a" "an" "the"
88
102
89 ABBREVIATE_BRIEF = "The $name class" \
103 ABBREVIATE_BRIEF = "The $name class" \
90 "The $name widget" \
104 "The $name widget" \
91 "The $name file" \
105 "The $name file" \
92 is \
106 is \
93 provides \
107 provides \
94 specifies \
108 specifies \
95 contains \
109 contains \
96 represents \
110 represents \
97 a \
111 a \
98 an \
112 an \
99 the
113 the
100
114
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
115 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 # Doxygen will generate a detailed section even if there is only a brief
116 # Doxygen will generate a detailed section even if there is only a brief
103 # description.
117 # description.
104
118
105 ALWAYS_DETAILED_SEC = NO
119 ALWAYS_DETAILED_SEC = NO
106
120
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
121 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 # inherited members of a class in the documentation of that class as if those
122 # inherited members of a class in the documentation of that class as if those
109 # members were ordinary class members. Constructors, destructors and assignment
123 # members were ordinary class members. Constructors, destructors and assignment
110 # operators of the base classes will not be shown.
124 # operators of the base classes will not be shown.
111
125
112 INLINE_INHERITED_MEMB = NO
126 INLINE_INHERITED_MEMB = NO
113
127
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
128 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 # path before files name in the file list and in the header files. If set
129 # path before files name in the file list and in the header files. If set
116 # to NO the shortest path that makes the file name unique will be used.
130 # to NO the shortest path that makes the file name unique will be used.
117
131
118 FULL_PATH_NAMES = YES
132 FULL_PATH_NAMES = YES
119
133
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
134 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 # can be used to strip a user-defined part of the path. Stripping is
135 # can be used to strip a user-defined part of the path. Stripping is
122 # only done if one of the specified strings matches the left-hand part of
136 # only done if one of the specified strings matches the left-hand part of
123 # the path. The tag can be used to show relative paths in the file list.
137 # the path. The tag can be used to show relative paths in the file list.
124 # If left blank the directory from which doxygen is run is used as the
138 # If left blank the directory from which doxygen is run is used as the
125 # path to strip.
139 # path to strip.
126
140
127 STRIP_FROM_PATH =
141 STRIP_FROM_PATH =
128
142
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
143 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 # the path mentioned in the documentation of a class, which tells
144 # the path mentioned in the documentation of a class, which tells
131 # the reader which header file to include in order to use a class.
145 # the reader which header file to include in order to use a class.
132 # If left blank only the name of the header file containing the class
146 # If left blank only the name of the header file containing the class
133 # definition is used. Otherwise one should specify the include paths that
147 # definition is used. Otherwise one should specify the include paths that
134 # are normally passed to the compiler using the -I flag.
148 # are normally passed to the compiler using the -I flag.
135
149
136 STRIP_FROM_INC_PATH =
150 STRIP_FROM_INC_PATH =
137
151
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
152 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 # (but less readable) file names. This can be useful is your file systems
153 # (but less readable) file names. This can be useful if your file system
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
154 # doesn't support long names like on DOS, Mac, or CD-ROM.
141
155
142 SHORT_NAMES = NO
156 SHORT_NAMES = NO
143
157
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
158 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 # will interpret the first line (until the first dot) of a JavaDoc-style
159 # will interpret the first line (until the first dot) of a JavaDoc-style
146 # comment as the brief description. If set to NO, the JavaDoc
160 # comment as the brief description. If set to NO, the JavaDoc
147 # comments will behave just like regular Qt-style comments
161 # comments will behave just like regular Qt-style comments
148 # (thus requiring an explicit @brief command for a brief description.)
162 # (thus requiring an explicit @brief command for a brief description.)
149
163
150 JAVADOC_AUTOBRIEF = NO
164 JAVADOC_AUTOBRIEF = NO
151
165
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
166 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 # interpret the first line (until the first dot) of a Qt-style
167 # interpret the first line (until the first dot) of a Qt-style
154 # comment as the brief description. If set to NO, the comments
168 # comment as the brief description. If set to NO, the comments
155 # will behave just like regular Qt-style comments (thus requiring
169 # will behave just like regular Qt-style comments (thus requiring
156 # an explicit \brief command for a brief description.)
170 # an explicit \brief command for a brief description.)
157
171
158 QT_AUTOBRIEF = NO
172 QT_AUTOBRIEF = NO
159
173
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
174 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
175 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 # comments) as a brief description. This used to be the default behaviour.
176 # comments) as a brief description. This used to be the default behaviour.
163 # The new default is to treat a multi-line C++ comment block as a detailed
177 # The new default is to treat a multi-line C++ comment block as a detailed
164 # description. Set this tag to YES if you prefer the old behaviour instead.
178 # description. Set this tag to YES if you prefer the old behaviour instead.
165
179
166 MULTILINE_CPP_IS_BRIEF = NO
180 MULTILINE_CPP_IS_BRIEF = NO
167
181
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
182 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 # member inherits the documentation from any documented member that it
183 # member inherits the documentation from any documented member that it
170 # re-implements.
184 # re-implements.
171
185
172 INHERIT_DOCS = YES
186 INHERIT_DOCS = YES
173
187
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
188 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 # a new page for each member. If set to NO, the documentation of a member will
189 # a new page for each member. If set to NO, the documentation of a member will
176 # be part of the file/class/namespace that contains it.
190 # be part of the file/class/namespace that contains it.
177
191
178 SEPARATE_MEMBER_PAGES = NO
192 SEPARATE_MEMBER_PAGES = NO
179
193
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
194 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
195 # Doxygen uses this value to replace tabs by spaces in code fragments.
182
196
183 TAB_SIZE = 8
197 TAB_SIZE = 8
184
198
185 # This tag can be used to specify a number of aliases that acts
199 # This tag can be used to specify a number of aliases that acts
186 # as commands in the documentation. An alias has the form "name=value".
200 # as commands in the documentation. An alias has the form "name=value".
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
201 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
202 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 # will result in a user-defined paragraph with heading "Side Effects:".
203 # will result in a user-defined paragraph with heading "Side Effects:".
190 # You can put \n's in the value part of an alias to insert newlines.
204 # You can put \n's in the value part of an alias to insert newlines.
191
205
192 ALIASES =
206 ALIASES =
193
207
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
208 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 # sources only. Doxygen will then generate output that is more tailored for C.
209 # sources only. Doxygen will then generate output that is more tailored for C.
196 # For instance, some of the names that are used will be different. The list
210 # For instance, some of the names that are used will be different. The list
197 # of all members will be omitted, etc.
211 # of all members will be omitted, etc.
198
212
199 OPTIMIZE_OUTPUT_FOR_C = NO
213 OPTIMIZE_OUTPUT_FOR_C = NO
200
214
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
215 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 # sources only. Doxygen will then generate output that is more tailored for
216 # sources only. Doxygen will then generate output that is more tailored for
203 # Java. For instance, namespaces will be presented as packages, qualified
217 # Java. For instance, namespaces will be presented as packages, qualified
204 # scopes will look different, etc.
218 # scopes will look different, etc.
205
219
206 OPTIMIZE_OUTPUT_JAVA = NO
220 OPTIMIZE_OUTPUT_JAVA = NO
207
221
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
222 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 # sources only. Doxygen will then generate output that is more tailored for
223 # sources only. Doxygen will then generate output that is more tailored for
210 # Fortran.
224 # Fortran.
211
225
212 OPTIMIZE_FOR_FORTRAN = NO
226 OPTIMIZE_FOR_FORTRAN = NO
213
227
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
228 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 # sources. Doxygen will then generate output that is tailored for
229 # sources. Doxygen will then generate output that is tailored for
216 # VHDL.
230 # VHDL.
217
231
218 OPTIMIZE_OUTPUT_VHDL = YES
232 OPTIMIZE_OUTPUT_VHDL = YES
219
233
220 # Doxygen selects the parser to use depending on the extension of the files it
234 # Doxygen selects the parser to use depending on the extension of the files it
221 # parses. With this tag you can assign which parser to use for a given extension.
235 # parses. With this tag you can assign which parser to use for a given extension.
222 # Doxygen has a built-in mapping, but you can override or extend it using this
236 # Doxygen has a built-in mapping, but you can override or extend it using this
223 # tag. The format is ext=language, where ext is a file extension, and language
237 # tag. The format is ext=language, where ext is a file extension, and language
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
238 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
239 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
240 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
241 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
242 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229
243
230 EXTENSION_MAPPING =
244 EXTENSION_MAPPING =
231
245
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
246 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 # to include (a tag file for) the STL sources as input, then you should
247 # to include (a tag file for) the STL sources as input, then you should
234 # set this tag to YES in order to let doxygen match functions declarations and
248 # set this tag to YES in order to let doxygen match functions declarations and
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
249 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 # func(std::string) {}). This also make the inheritance and collaboration
250 # func(std::string) {}). This also makes the inheritance and collaboration
237 # diagrams that involve STL classes more complete and accurate.
251 # diagrams that involve STL classes more complete and accurate.
238
252
239 BUILTIN_STL_SUPPORT = NO
253 BUILTIN_STL_SUPPORT = NO
240
254
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
255 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 # enable parsing support.
256 # enable parsing support.
243
257
244 CPP_CLI_SUPPORT = NO
258 CPP_CLI_SUPPORT = NO
245
259
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
260 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 # Doxygen will parse them like normal C++ but will assume all classes use public
261 # Doxygen will parse them like normal C++ but will assume all classes use public
248 # instead of private inheritance when no explicit protection keyword is present.
262 # instead of private inheritance when no explicit protection keyword is present.
249
263
250 SIP_SUPPORT = NO
264 SIP_SUPPORT = NO
251
265
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
266 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 # and setter methods for a property. Setting this option to YES (the default)
267 # and setter methods for a property. Setting this option to YES (the default)
254 # will make doxygen to replace the get and set methods by a property in the
268 # will make doxygen replace the get and set methods by a property in the
255 # documentation. This will only work if the methods are indeed getting or
269 # documentation. This will only work if the methods are indeed getting or
256 # setting a simple type. If this is not the case, or you want to show the
270 # setting a simple type. If this is not the case, or you want to show the
257 # methods anyway, you should set this option to NO.
271 # methods anyway, you should set this option to NO.
258
272
259 IDL_PROPERTY_SUPPORT = YES
273 IDL_PROPERTY_SUPPORT = YES
260
274
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
275 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 # tag is set to YES, then doxygen will reuse the documentation of the first
276 # tag is set to YES, then doxygen will reuse the documentation of the first
263 # member in the group (if any) for the other members of the group. By default
277 # member in the group (if any) for the other members of the group. By default
264 # all members of a group must be documented explicitly.
278 # all members of a group must be documented explicitly.
265
279
266 DISTRIBUTE_GROUP_DOC = NO
280 DISTRIBUTE_GROUP_DOC = NO
267
281
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
282 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 # the same type (for instance a group of public functions) to be put as a
283 # the same type (for instance a group of public functions) to be put as a
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
284 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
285 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 # the \nosubgrouping command.
286 # the \nosubgrouping command.
273
287
274 SUBGROUPING = YES
288 SUBGROUPING = YES
275
289
290 # When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and
291 # unions are shown inside the group in which they are included (e.g. using
292 # @ingroup) instead of on a separate page (for HTML and Man pages) or
293 # section (for LaTeX and RTF).
294
295 INLINE_GROUPED_CLASSES = NO
296
297 # When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and
298 # unions with only public data fields will be shown inline in the documentation
299 # of the scope in which they are defined (i.e. file, namespace, or group
300 # documentation), provided this scope is documented. If set to NO (the default),
301 # structs, classes, and unions are shown on a separate page (for HTML and Man
302 # pages) or section (for LaTeX and RTF).
303
304 INLINE_SIMPLE_STRUCTS = NO
305
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
306 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 # is documented as struct, union, or enum with the name of the typedef. So
307 # is documented as struct, union, or enum with the name of the typedef. So
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
308 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
309 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 # namespace, or class. And the struct will be named TypeS. This can typically
310 # namespace, or class. And the struct will be named TypeS. This can typically
281 # be useful for C code in case the coding convention dictates that all compound
311 # be useful for C code in case the coding convention dictates that all compound
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
312 # types are typedef'ed and only the typedef is referenced, never the tag name.
283
313
284 TYPEDEF_HIDES_STRUCT = NO
314 TYPEDEF_HIDES_STRUCT = NO
285
315
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
316 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 # determine which symbols to keep in memory and which to flush to disk.
317 # determine which symbols to keep in memory and which to flush to disk.
288 # When the cache is full, less often used symbols will be written to disk.
318 # When the cache is full, less often used symbols will be written to disk.
289 # For small to medium size projects (<1000 input files) the default value is
319 # For small to medium size projects (<1000 input files) the default value is
290 # probably good enough. For larger projects a too small cache size can cause
320 # probably good enough. For larger projects a too small cache size can cause
291 # doxygen to be busy swapping symbols to and from disk most of the time
321 # doxygen to be busy swapping symbols to and from disk most of the time
292 # causing a significant performance penality.
322 # causing a significant performance penalty.
293 # If the system has enough physical memory increasing the cache will improve the
323 # If the system has enough physical memory increasing the cache will improve the
294 # performance by keeping more symbols in memory. Note that the value works on
324 # performance by keeping more symbols in memory. Note that the value works on
295 # a logarithmic scale so increasing the size by one will rougly double the
325 # a logarithmic scale so increasing the size by one will roughly double the
296 # memory usage. The cache size is given by this formula:
326 # memory usage. The cache size is given by this formula:
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
327 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 # corresponding to a cache size of 2^16 = 65536 symbols
328 # corresponding to a cache size of 2^16 = 65536 symbols
299
329
300 SYMBOL_CACHE_SIZE = 0
330 SYMBOL_CACHE_SIZE = 0
301
331
302 #---------------------------------------------------------------------------
332 #---------------------------------------------------------------------------
303 # Build related configuration options
333 # Build related configuration options
304 #---------------------------------------------------------------------------
334 #---------------------------------------------------------------------------
305
335
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
336 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 # documentation are documented, even if no documentation was available.
337 # documentation are documented, even if no documentation was available.
308 # Private class members and static file members will be hidden unless
338 # Private class members and static file members will be hidden unless
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
339 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310
340
311 EXTRACT_ALL = YES
341 EXTRACT_ALL = YES
312
342
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
343 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 # will be included in the documentation.
344 # will be included in the documentation.
315
345
316 EXTRACT_PRIVATE = NO
346 EXTRACT_PRIVATE = NO
317
347
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
348 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 # will be included in the documentation.
349 # will be included in the documentation.
320
350
321 EXTRACT_STATIC = NO
351 EXTRACT_STATIC = NO
322
352
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
353 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 # defined locally in source files will be included in the documentation.
354 # defined locally in source files will be included in the documentation.
325 # If set to NO only classes defined in header files are included.
355 # If set to NO only classes defined in header files are included.
326
356
327 EXTRACT_LOCAL_CLASSES = YES
357 EXTRACT_LOCAL_CLASSES = YES
328
358
329 # This flag is only useful for Objective-C code. When set to YES local
359 # This flag is only useful for Objective-C code. When set to YES local
330 # methods, which are defined in the implementation section but not in
360 # methods, which are defined in the implementation section but not in
331 # the interface are included in the documentation.
361 # the interface are included in the documentation.
332 # If set to NO (the default) only methods in the interface are included.
362 # If set to NO (the default) only methods in the interface are included.
333
363
334 EXTRACT_LOCAL_METHODS = NO
364 EXTRACT_LOCAL_METHODS = NO
335
365
336 # If this flag is set to YES, the members of anonymous namespaces will be
366 # If this flag is set to YES, the members of anonymous namespaces will be
337 # extracted and appear in the documentation as a namespace called
367 # extracted and appear in the documentation as a namespace called
338 # 'anonymous_namespace{file}', where file will be replaced with the base
368 # 'anonymous_namespace{file}', where file will be replaced with the base
339 # name of the file that contains the anonymous namespace. By default
369 # name of the file that contains the anonymous namespace. By default
340 # anonymous namespace are hidden.
370 # anonymous namespaces are hidden.
341
371
342 EXTRACT_ANON_NSPACES = NO
372 EXTRACT_ANON_NSPACES = NO
343
373
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
374 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 # undocumented members of documented classes, files or namespaces.
375 # undocumented members of documented classes, files or namespaces.
346 # If set to NO (the default) these members will be included in the
376 # If set to NO (the default) these members will be included in the
347 # various overviews, but no documentation section is generated.
377 # various overviews, but no documentation section is generated.
348 # This option has no effect if EXTRACT_ALL is enabled.
378 # This option has no effect if EXTRACT_ALL is enabled.
349
379
350 HIDE_UNDOC_MEMBERS = NO
380 HIDE_UNDOC_MEMBERS = NO
351
381
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
382 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 # undocumented classes that are normally visible in the class hierarchy.
383 # undocumented classes that are normally visible in the class hierarchy.
354 # If set to NO (the default) these classes will be included in the various
384 # If set to NO (the default) these classes will be included in the various
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
385 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356
386
357 HIDE_UNDOC_CLASSES = NO
387 HIDE_UNDOC_CLASSES = NO
358
388
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
389 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 # friend (class|struct|union) declarations.
390 # friend (class|struct|union) declarations.
361 # If set to NO (the default) these declarations will be included in the
391 # If set to NO (the default) these declarations will be included in the
362 # documentation.
392 # documentation.
363
393
364 HIDE_FRIEND_COMPOUNDS = NO
394 HIDE_FRIEND_COMPOUNDS = NO
365
395
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
396 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 # documentation blocks found inside the body of a function.
397 # documentation blocks found inside the body of a function.
368 # If set to NO (the default) these blocks will be appended to the
398 # If set to NO (the default) these blocks will be appended to the
369 # function's detailed documentation block.
399 # function's detailed documentation block.
370
400
371 HIDE_IN_BODY_DOCS = NO
401 HIDE_IN_BODY_DOCS = NO
372
402
373 # The INTERNAL_DOCS tag determines if documentation
403 # The INTERNAL_DOCS tag determines if documentation
374 # that is typed after a \internal command is included. If the tag is set
404 # that is typed after a \internal command is included. If the tag is set
375 # to NO (the default) then the documentation will be excluded.
405 # to NO (the default) then the documentation will be excluded.
376 # Set it to YES to include the internal documentation.
406 # Set it to YES to include the internal documentation.
377
407
378 INTERNAL_DOCS = NO
408 INTERNAL_DOCS = NO
379
409
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
410 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 # file names in lower-case letters. If set to YES upper-case letters are also
411 # file names in lower-case letters. If set to YES upper-case letters are also
382 # allowed. This is useful if you have classes or files whose names only differ
412 # allowed. This is useful if you have classes or files whose names only differ
383 # in case and if your file system supports case sensitive file names. Windows
413 # in case and if your file system supports case sensitive file names. Windows
384 # and Mac users are advised to set this option to NO.
414 # and Mac users are advised to set this option to NO.
385
415
386 CASE_SENSE_NAMES = NO
416 CASE_SENSE_NAMES = NO
387
417
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
418 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 # will show members with their full class and namespace scopes in the
419 # will show members with their full class and namespace scopes in the
390 # documentation. If set to YES the scope will be hidden.
420 # documentation. If set to YES the scope will be hidden.
391
421
392 HIDE_SCOPE_NAMES = NO
422 HIDE_SCOPE_NAMES = NO
393
423
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
424 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 # will put a list of the files that are included by a file in the documentation
425 # will put a list of the files that are included by a file in the documentation
396 # of that file.
426 # of that file.
397
427
398 SHOW_INCLUDE_FILES = YES
428 SHOW_INCLUDE_FILES = YES
399
429
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
430 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 # will list include files with double quotes in the documentation
431 # will list include files with double quotes in the documentation
402 # rather than with sharp brackets.
432 # rather than with sharp brackets.
403
433
404 FORCE_LOCAL_INCLUDES = NO
434 FORCE_LOCAL_INCLUDES = NO
405
435
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
436 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 # is inserted in the documentation for inline members.
437 # is inserted in the documentation for inline members.
408
438
409 INLINE_INFO = YES
439 INLINE_INFO = YES
410
440
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
441 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 # will sort the (detailed) documentation of file and class members
442 # will sort the (detailed) documentation of file and class members
413 # alphabetically by member name. If set to NO the members will appear in
443 # alphabetically by member name. If set to NO the members will appear in
414 # declaration order.
444 # declaration order.
415
445
416 SORT_MEMBER_DOCS = YES
446 SORT_MEMBER_DOCS = YES
417
447
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
448 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 # brief documentation of file, namespace and class members alphabetically
449 # brief documentation of file, namespace and class members alphabetically
420 # by member name. If set to NO (the default) the members will appear in
450 # by member name. If set to NO (the default) the members will appear in
421 # declaration order.
451 # declaration order.
422
452
423 SORT_BRIEF_DOCS = NO
453 SORT_BRIEF_DOCS = NO
424
454
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
455 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 # will sort the (brief and detailed) documentation of class members so that
456 # will sort the (brief and detailed) documentation of class members so that
427 # constructors and destructors are listed first. If set to NO (the default)
457 # constructors and destructors are listed first. If set to NO (the default)
428 # the constructors will appear in the respective orders defined by
458 # the constructors will appear in the respective orders defined by
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
459 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
460 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
461 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432
462
433 SORT_MEMBERS_CTORS_1ST = NO
463 SORT_MEMBERS_CTORS_1ST = NO
434
464
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
465 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
466 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 # the group names will appear in their defined order.
467 # the group names will appear in their defined order.
438
468
439 SORT_GROUP_NAMES = NO
469 SORT_GROUP_NAMES = NO
440
470
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
471 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 # sorted by fully-qualified names, including namespaces. If set to
472 # sorted by fully-qualified names, including namespaces. If set to
443 # NO (the default), the class list will be sorted only by class name,
473 # NO (the default), the class list will be sorted only by class name,
444 # not including the namespace part.
474 # not including the namespace part.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
475 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 # Note: This option applies only to the class list, not to the
476 # Note: This option applies only to the class list, not to the
447 # alphabetical list.
477 # alphabetical list.
448
478
449 SORT_BY_SCOPE_NAME = NO
479 SORT_BY_SCOPE_NAME = NO
450
480
481 # If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to
482 # do proper type resolution of all parameters of a function it will reject a
483 # match between the prototype and the implementation of a member function even
484 # if there is only one candidate or it is obvious which candidate to choose
485 # by doing a simple string match. By disabling STRICT_PROTO_MATCHING doxygen
486 # will still accept a match between prototype and implementation in such cases.
487
488 STRICT_PROTO_MATCHING = NO
489
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
490 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 # disable (NO) the todo list. This list is created by putting \todo
491 # disable (NO) the todo list. This list is created by putting \todo
453 # commands in the documentation.
492 # commands in the documentation.
454
493
455 GENERATE_TODOLIST = YES
494 GENERATE_TODOLIST = YES
456
495
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
496 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 # disable (NO) the test list. This list is created by putting \test
497 # disable (NO) the test list. This list is created by putting \test
459 # commands in the documentation.
498 # commands in the documentation.
460
499
461 GENERATE_TESTLIST = YES
500 GENERATE_TESTLIST = YES
462
501
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
502 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 # disable (NO) the bug list. This list is created by putting \bug
503 # disable (NO) the bug list. This list is created by putting \bug
465 # commands in the documentation.
504 # commands in the documentation.
466
505
467 GENERATE_BUGLIST = YES
506 GENERATE_BUGLIST = YES
468
507
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
508 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 # disable (NO) the deprecated list. This list is created by putting
509 # disable (NO) the deprecated list. This list is created by putting
471 # \deprecated commands in the documentation.
510 # \deprecated commands in the documentation.
472
511
473 GENERATE_DEPRECATEDLIST= YES
512 GENERATE_DEPRECATEDLIST= YES
474
513
475 # The ENABLED_SECTIONS tag can be used to enable conditional
514 # The ENABLED_SECTIONS tag can be used to enable conditional
476 # documentation sections, marked by \if sectionname ... \endif.
515 # documentation sections, marked by \if sectionname ... \endif.
477
516
478 ENABLED_SECTIONS =
517 ENABLED_SECTIONS =
479
518
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
519 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 # the initial value of a variable or define consists of for it to appear in
520 # the initial value of a variable or macro consists of for it to appear in
482 # the documentation. If the initializer consists of more lines than specified
521 # the documentation. If the initializer consists of more lines than specified
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
522 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 # The appearance of the initializer of individual variables and defines in the
523 # The appearance of the initializer of individual variables and macros in the
485 # documentation can be controlled using \showinitializer or \hideinitializer
524 # documentation can be controlled using \showinitializer or \hideinitializer
486 # command in the documentation regardless of this setting.
525 # command in the documentation regardless of this setting.
487
526
488 MAX_INITIALIZER_LINES = 30
527 MAX_INITIALIZER_LINES = 30
489
528
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
529 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 # at the bottom of the documentation of classes and structs. If set to YES the
530 # at the bottom of the documentation of classes and structs. If set to YES the
492 # list will mention the files that were used to generate the documentation.
531 # list will mention the files that were used to generate the documentation.
493
532
494 SHOW_USED_FILES = YES
533 SHOW_USED_FILES = YES
495
534
496 # If the sources in your project are distributed over multiple directories
535 # If the sources in your project are distributed over multiple directories
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
536 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 # in the documentation. The default is NO.
537 # in the documentation. The default is NO.
499
538
500 SHOW_DIRECTORIES = NO
539 SHOW_DIRECTORIES = NO
501
540
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
541 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 # This will remove the Files entry from the Quick Index and from the
542 # This will remove the Files entry from the Quick Index and from the
504 # Folder Tree View (if specified). The default is YES.
543 # Folder Tree View (if specified). The default is YES.
505
544
506 SHOW_FILES = YES
545 SHOW_FILES = YES
507
546
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
547 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
548 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 # and from the Folder Tree View (if specified). The default is YES.
549 # and from the Folder Tree View (if specified). The default is YES.
511
550
512 SHOW_NAMESPACES = YES
551 SHOW_NAMESPACES = YES
513
552
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
553 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 # doxygen should invoke to get the current version for each file (typically from
554 # doxygen should invoke to get the current version for each file (typically from
516 # the version control system). Doxygen will invoke the program by executing (via
555 # the version control system). Doxygen will invoke the program by executing (via
517 # popen()) the command <command> <input-file>, where <command> is the value of
556 # popen()) the command <command> <input-file>, where <command> is the value of
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
557 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 # provided by doxygen. Whatever the program writes to standard output
558 # provided by doxygen. Whatever the program writes to standard output
520 # is used as the file version. See the manual for examples.
559 # is used as the file version. See the manual for examples.
521
560
522 FILE_VERSION_FILTER =
561 FILE_VERSION_FILTER =
523
562
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
563 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 # by doxygen. The layout file controls the global structure of the generated
564 # by doxygen. The layout file controls the global structure of the generated
526 # output files in an output format independent way. The create the layout file
565 # output files in an output format independent way. The create the layout file
527 # that represents doxygen's defaults, run doxygen with the -l option.
566 # that represents doxygen's defaults, run doxygen with the -l option.
528 # You can optionally specify a file name after the option, if omitted
567 # You can optionally specify a file name after the option, if omitted
529 # DoxygenLayout.xml will be used as the name of the layout file.
568 # DoxygenLayout.xml will be used as the name of the layout file.
530
569
531 LAYOUT_FILE =
570 LAYOUT_FILE =
532
571
572 # The CITE_BIB_FILES tag can be used to specify one or more bib files
573 # containing the references data. This must be a list of .bib files. The
574 # .bib extension is automatically appended if omitted. Using this command
575 # requires the bibtex tool to be installed. See also
576 # http://en.wikipedia.org/wiki/BibTeX for more info. For LaTeX the style
577 # of the bibliography can be controlled using LATEX_BIB_STYLE.
578
579 CITE_BIB_FILES =
580
533 #---------------------------------------------------------------------------
581 #---------------------------------------------------------------------------
534 # configuration options related to warning and progress messages
582 # configuration options related to warning and progress messages
535 #---------------------------------------------------------------------------
583 #---------------------------------------------------------------------------
536
584
537 # The QUIET tag can be used to turn on/off the messages that are generated
585 # The QUIET tag can be used to turn on/off the messages that are generated
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
586 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539
587
540 QUIET = NO
588 QUIET = NO
541
589
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
590 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 # generated by doxygen. Possible values are YES and NO. If left blank
591 # generated by doxygen. Possible values are YES and NO. If left blank
544 # NO is used.
592 # NO is used.
545
593
546 WARNINGS = YES
594 WARNINGS = YES
547
595
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
596 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
597 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 # automatically be disabled.
598 # automatically be disabled.
551
599
552 WARN_IF_UNDOCUMENTED = YES
600 WARN_IF_UNDOCUMENTED = YES
553
601
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
602 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 # potential errors in the documentation, such as not documenting some
603 # potential errors in the documentation, such as not documenting some
556 # parameters in a documented function, or documenting parameters that
604 # parameters in a documented function, or documenting parameters that
557 # don't exist or using markup commands wrongly.
605 # don't exist or using markup commands wrongly.
558
606
559 WARN_IF_DOC_ERROR = YES
607 WARN_IF_DOC_ERROR = YES
560
608
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
609 # The WARN_NO_PARAMDOC option can be enabled to get warnings for
562 # functions that are documented, but have no documentation for their parameters
610 # functions that are documented, but have no documentation for their parameters
563 # or return value. If set to NO (the default) doxygen will only warn about
611 # or return value. If set to NO (the default) doxygen will only warn about
564 # wrong or incomplete parameter documentation, but not about the absence of
612 # wrong or incomplete parameter documentation, but not about the absence of
565 # documentation.
613 # documentation.
566
614
567 WARN_NO_PARAMDOC = NO
615 WARN_NO_PARAMDOC = NO
568
616
569 # The WARN_FORMAT tag determines the format of the warning messages that
617 # The WARN_FORMAT tag determines the format of the warning messages that
570 # doxygen can produce. The string should contain the $file, $line, and $text
618 # doxygen can produce. The string should contain the $file, $line, and $text
571 # tags, which will be replaced by the file and line number from which the
619 # tags, which will be replaced by the file and line number from which the
572 # warning originated and the warning text. Optionally the format may contain
620 # warning originated and the warning text. Optionally the format may contain
573 # $version, which will be replaced by the version of the file (if it could
621 # $version, which will be replaced by the version of the file (if it could
574 # be obtained via FILE_VERSION_FILTER)
622 # be obtained via FILE_VERSION_FILTER)
575
623
576 WARN_FORMAT = "$file:$line: $text"
624 WARN_FORMAT = "$file:$line: $text"
577
625
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
626 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 # and error messages should be written. If left blank the output is written
627 # and error messages should be written. If left blank the output is written
580 # to stderr.
628 # to stderr.
581
629
582 WARN_LOGFILE =
630 WARN_LOGFILE =
583
631
584 #---------------------------------------------------------------------------
632 #---------------------------------------------------------------------------
585 # configuration options related to the input files
633 # configuration options related to the input files
586 #---------------------------------------------------------------------------
634 #---------------------------------------------------------------------------
587
635
588 # The INPUT tag can be used to specify the files and/or directories that contain
636 # The INPUT tag can be used to specify the files and/or directories that contain
589 # documented source files. You may enter file names like "myfile.cpp" or
637 # documented source files. You may enter file names like "myfile.cpp" or
590 # directories like "/usr/src/myproject". Separate the files or directories
638 # directories like "/usr/src/myproject". Separate the files or directories
591 # with spaces.
639 # with spaces.
592
640
593 INPUT = .
641 INPUT = .
594
642
595 # This tag can be used to specify the character encoding of the source files
643 # This tag can be used to specify the character encoding of the source files
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
644 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
645 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
646 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 # the list of possible encodings.
647 # the list of possible encodings.
600
648
601 INPUT_ENCODING = UTF-8
649 INPUT_ENCODING = UTF-8
602
650
603 # If the value of the INPUT tag contains directories, you can use the
651 # If the value of the INPUT tag contains directories, you can use the
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
652 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 # and *.h) to filter out the source-files in the directories. If left
653 # and *.h) to filter out the source-files in the directories. If left
606 # blank the following patterns are tested:
654 # blank the following patterns are tested:
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
655 # *.c *.cc *.cxx *.cpp *.c++ *.d *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
656 # *.hxx *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.dox *.py
657 # *.f90 *.f *.for *.vhd *.vhdl
609
658
610 FILE_PATTERNS = *.c \
659 FILE_PATTERNS = *.c \
611 *.cc \
660 *.cc \
612 *.cxx \
661 *.cxx \
613 *.cpp \
662 *.cpp \
614 *.c++ \
663 *.c++ \
615 *.d \
664 *.d \
616 *.java \
665 *.java \
617 *.ii \
666 *.ii \
618 *.ixx \
667 *.ixx \
619 *.ipp \
668 *.ipp \
620 *.i++ \
669 *.i++ \
621 *.inl \
670 *.inl \
622 *.h \
671 *.h \
623 *.hh \
672 *.hh \
624 *.hxx \
673 *.hxx \
625 *.hpp \
674 *.hpp \
626 *.h++ \
675 *.h++ \
627 *.idl \
676 *.idl \
628 *.odl \
677 *.odl \
629 *.cs \
678 *.cs \
630 *.php \
679 *.php \
631 *.php3 \
680 *.php3 \
632 *.inc \
681 *.inc \
633 *.m \
682 *.m \
634 *.mm \
683 *.mm \
635 *.dox \
684 *.dox \
636 *.py \
685 *.py \
637 *.f90 \
686 *.f90 \
638 *.f \
687 *.f \
639 *.vhd \
688 *.vhd \
640 *.vhdl
689 *.vhdl
641
690
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
691 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 # should be searched for input files as well. Possible values are YES and NO.
692 # should be searched for input files as well. Possible values are YES and NO.
644 # If left blank NO is used.
693 # If left blank NO is used.
645
694
646 RECURSIVE = YES
695 RECURSIVE = YES
647
696
648 # The EXCLUDE tag can be used to specify files and/or directories that should
697 # The EXCLUDE tag can be used to specify files and/or directories that should
649 # excluded from the INPUT source files. This way you can easily exclude a
698 # excluded from the INPUT source files. This way you can easily exclude a
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
699 # subdirectory from a directory tree whose root is specified with the INPUT tag.
700 # Note that relative paths are relative to directory from which doxygen is run.
651
701
652 EXCLUDE =
702 EXCLUDE =
653
703
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
704 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
705 # directories that are symbolic links (a Unix file system feature) are excluded
656 # from the input.
706 # from the input.
657
707
658 EXCLUDE_SYMLINKS = NO
708 EXCLUDE_SYMLINKS = NO
659
709
660 # If the value of the INPUT tag contains directories, you can use the
710 # If the value of the INPUT tag contains directories, you can use the
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
711 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 # certain files from those directories. Note that the wildcards are matched
712 # certain files from those directories. Note that the wildcards are matched
663 # against the file with absolute path, so to exclude all test directories
713 # against the file with absolute path, so to exclude all test directories
664 # for example use the pattern */test/*
714 # for example use the pattern */test/*
665
715
666 EXCLUDE_PATTERNS =
716 EXCLUDE_PATTERNS =
667
717
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
718 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 # (namespaces, classes, functions, etc.) that should be excluded from the
719 # (namespaces, classes, functions, etc.) that should be excluded from the
670 # output. The symbol name can be a fully qualified name, a word, or if the
720 # output. The symbol name can be a fully qualified name, a word, or if the
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
721 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 # AClass::ANamespace, ANamespace::*Test
722 # AClass::ANamespace, ANamespace::*Test
673
723
674 EXCLUDE_SYMBOLS =
724 EXCLUDE_SYMBOLS =
675
725
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
726 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 # directories that contain example code fragments that are included (see
727 # directories that contain example code fragments that are included (see
678 # the \include command).
728 # the \include command).
679
729
680 EXAMPLE_PATH =
730 EXAMPLE_PATH =
681
731
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
732 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
733 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 # and *.h) to filter out the source-files in the directories. If left
734 # and *.h) to filter out the source-files in the directories. If left
685 # blank all files are included.
735 # blank all files are included.
686
736
687 EXAMPLE_PATTERNS = *
737 EXAMPLE_PATTERNS = *
688
738
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
739 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 # searched for input files to be used with the \include or \dontinclude
740 # searched for input files to be used with the \include or \dontinclude
691 # commands irrespective of the value of the RECURSIVE tag.
741 # commands irrespective of the value of the RECURSIVE tag.
692 # Possible values are YES and NO. If left blank NO is used.
742 # Possible values are YES and NO. If left blank NO is used.
693
743
694 EXAMPLE_RECURSIVE = NO
744 EXAMPLE_RECURSIVE = NO
695
745
696 # The IMAGE_PATH tag can be used to specify one or more files or
746 # The IMAGE_PATH tag can be used to specify one or more files or
697 # directories that contain image that are included in the documentation (see
747 # directories that contain image that are included in the documentation (see
698 # the \image command).
748 # the \image command).
699
749
700 IMAGE_PATH =
750 IMAGE_PATH =
701
751
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
752 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 # invoke to filter for each input file. Doxygen will invoke the filter program
753 # invoke to filter for each input file. Doxygen will invoke the filter program
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
754 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
755 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 # input file. Doxygen will then use the output that the filter program writes
756 # input file. Doxygen will then use the output that the filter program writes
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
757 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 # ignored.
758 # ignored.
709
759
710 INPUT_FILTER =
760 INPUT_FILTER =
711
761
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
762 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 # basis. Doxygen will compare the file name with each pattern and apply the
763 # basis. Doxygen will compare the file name with each pattern and apply the
714 # filter if there is a match. The filters are a list of the form:
764 # filter if there is a match. The filters are a list of the form:
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
765 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
766 # info on how filters are used. If FILTER_PATTERNS is empty or if
717 # is applied to all files.
767 # non of the patterns match the file name, INPUT_FILTER is applied.
718
768
719 FILTER_PATTERNS =
769 FILTER_PATTERNS =
720
770
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
771 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 # INPUT_FILTER) will be used to filter the input files when producing source
772 # INPUT_FILTER) will be used to filter the input files when producing source
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
773 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724
774
725 FILTER_SOURCE_FILES = NO
775 FILTER_SOURCE_FILES = NO
726
776
777 # The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file
778 # pattern. A pattern will override the setting for FILTER_PATTERN (if any)
779 # and it is also possible to disable source filtering for a specific pattern
780 # using *.ext= (so without naming a filter). This option only has effect when
781 # FILTER_SOURCE_FILES is enabled.
782
783 FILTER_SOURCE_PATTERNS =
784
727 #---------------------------------------------------------------------------
785 #---------------------------------------------------------------------------
728 # configuration options related to source browsing
786 # configuration options related to source browsing
729 #---------------------------------------------------------------------------
787 #---------------------------------------------------------------------------
730
788
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
789 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 # be generated. Documented entities will be cross-referenced with these sources.
790 # be generated. Documented entities will be cross-referenced with these sources.
733 # Note: To get rid of all source code in the generated output, make sure also
791 # Note: To get rid of all source code in the generated output, make sure also
734 # VERBATIM_HEADERS is set to NO.
792 # VERBATIM_HEADERS is set to NO.
735
793
736 SOURCE_BROWSER = YES
794 SOURCE_BROWSER = NO
737
795
738 # Setting the INLINE_SOURCES tag to YES will include the body
796 # Setting the INLINE_SOURCES tag to YES will include the body
739 # of functions and classes directly in the documentation.
797 # of functions and classes directly in the documentation.
740
798
741 INLINE_SOURCES = NO
799 INLINE_SOURCES = NO
742
800
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
801 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 # doxygen to hide any special comment blocks from generated source code
802 # doxygen to hide any special comment blocks from generated source code
745 # fragments. Normal C and C++ comments will always remain visible.
803 # fragments. Normal C and C++ comments will always remain visible.
746
804
747 STRIP_CODE_COMMENTS = YES
805 STRIP_CODE_COMMENTS = YES
748
806
749 # If the REFERENCED_BY_RELATION tag is set to YES
807 # If the REFERENCED_BY_RELATION tag is set to YES
750 # then for each documented function all documented
808 # then for each documented function all documented
751 # functions referencing it will be listed.
809 # functions referencing it will be listed.
752
810
753 REFERENCED_BY_RELATION = NO
811 REFERENCED_BY_RELATION = NO
754
812
755 # If the REFERENCES_RELATION tag is set to YES
813 # If the REFERENCES_RELATION tag is set to YES
756 # then for each documented function all documented entities
814 # then for each documented function all documented entities
757 # called/used by that function will be listed.
815 # called/used by that function will be listed.
758
816
759 REFERENCES_RELATION = NO
817 REFERENCES_RELATION = NO
760
818
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
819 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
820 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
821 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 # link to the source code. Otherwise they will link to the documentation.
822 # link to the source code. Otherwise they will link to the documentation.
765
823
766 REFERENCES_LINK_SOURCE = YES
824 REFERENCES_LINK_SOURCE = YES
767
825
768 # If the USE_HTAGS tag is set to YES then the references to source code
826 # If the USE_HTAGS tag is set to YES then the references to source code
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
827 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 # built-in source browser. The htags tool is part of GNU's global source
828 # built-in source browser. The htags tool is part of GNU's global source
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
829 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 # will need version 4.8.6 or higher.
830 # will need version 4.8.6 or higher.
773
831
774 USE_HTAGS = NO
832 USE_HTAGS = NO
775
833
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
834 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 # will generate a verbatim copy of the header file for each class for
835 # will generate a verbatim copy of the header file for each class for
778 # which an include is specified. Set to NO to disable this.
836 # which an include is specified. Set to NO to disable this.
779
837
780 VERBATIM_HEADERS = YES
838 VERBATIM_HEADERS = YES
781
839
782 #---------------------------------------------------------------------------
840 #---------------------------------------------------------------------------
783 # configuration options related to the alphabetical class index
841 # configuration options related to the alphabetical class index
784 #---------------------------------------------------------------------------
842 #---------------------------------------------------------------------------
785
843
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
844 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 # of all compounds will be generated. Enable this if the project
845 # of all compounds will be generated. Enable this if the project
788 # contains a lot of classes, structs, unions or interfaces.
846 # contains a lot of classes, structs, unions or interfaces.
789
847
790 ALPHABETICAL_INDEX = YES
848 ALPHABETICAL_INDEX = YES
791
849
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
850 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
851 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 # in which this list will be split (can be a number in the range [1..20])
852 # in which this list will be split (can be a number in the range [1..20])
795
853
796 COLS_IN_ALPHA_INDEX = 5
854 COLS_IN_ALPHA_INDEX = 5
797
855
798 # In case all classes in a project start with a common prefix, all
856 # In case all classes in a project start with a common prefix, all
799 # classes will be put under the same header in the alphabetical index.
857 # classes will be put under the same header in the alphabetical index.
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
858 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 # should be ignored while generating the index headers.
859 # should be ignored while generating the index headers.
802
860
803 IGNORE_PREFIX =
861 IGNORE_PREFIX =
804
862
805 #---------------------------------------------------------------------------
863 #---------------------------------------------------------------------------
806 # configuration options related to the HTML output
864 # configuration options related to the HTML output
807 #---------------------------------------------------------------------------
865 #---------------------------------------------------------------------------
808
866
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
867 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 # generate HTML output.
868 # generate HTML output.
811
869
812 GENERATE_HTML = YES
870 GENERATE_HTML = YES
813
871
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
872 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
873 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 # put in front of it. If left blank `html' will be used as the default path.
874 # put in front of it. If left blank `html' will be used as the default path.
817
875
818 HTML_OUTPUT = html
876 HTML_OUTPUT = html
819
877
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
878 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
879 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 # doxygen will generate files with .html extension.
880 # doxygen will generate files with .html extension.
823
881
824 HTML_FILE_EXTENSION = .html
882 HTML_FILE_EXTENSION = .html
825
883
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
884 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 # each generated HTML page. If it is left blank doxygen will generate a
885 # each generated HTML page. If it is left blank doxygen will generate a
828 # standard header.
886 # standard header. Note that when using a custom header you are responsible
887 # for the proper inclusion of any scripts and style sheets that doxygen
888 # needs, which is dependent on the configuration options used.
889 # It is adviced to generate a default header using "doxygen -w html
890 # header.html footer.html stylesheet.css YourConfigFile" and then modify
891 # that header. Note that the header is subject to change so you typically
892 # have to redo this when upgrading to a newer version of doxygen or when
893 # changing the value of configuration settings such as GENERATE_TREEVIEW!
829
894
830 HTML_HEADER = ../../doc/ressources/Header
895 HTML_HEADER =
831
896
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
897 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 # each generated HTML page. If it is left blank doxygen will generate a
898 # each generated HTML page. If it is left blank doxygen will generate a
834 # standard footer.
899 # standard footer.
835
900
836 HTML_FOOTER = ../../doc/ressources/Footer
901 HTML_FOOTER =
837
902
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
903 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 # style sheet that is used by each HTML page. It can be used to
904 # style sheet that is used by each HTML page. It can be used to
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
905 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 # will generate a default style sheet. Note that doxygen will try to copy
906 # will generate a default style sheet. Note that doxygen will try to copy
842 # the style sheet file to the HTML output directory, so don't put your own
907 # the style sheet file to the HTML output directory, so don't put your own
843 # stylesheet in the HTML output directory as well, or it will be erased!
908 # stylesheet in the HTML output directory as well, or it will be erased!
844
909
845 HTML_STYLESHEET = ../../doc/ressources/doxygen.css
910 HTML_STYLESHEET =
911
912 # The HTML_EXTRA_FILES tag can be used to specify one or more extra images or
913 # other source files which should be copied to the HTML output directory. Note
914 # that these files will be copied to the base HTML output directory. Use the
915 # $relpath$ marker in the HTML_HEADER and/or HTML_FOOTER files to load these
916 # files. In the HTML_STYLESHEET file, use the file name only. Also note that
917 # the files will be copied as-is; there are no commands or markers available.
918
919 HTML_EXTRA_FILES =
846
920
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
921 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 # Doxygen will adjust the colors in the stylesheet and background images
922 # Doxygen will adjust the colors in the stylesheet and background images
849 # according to this color. Hue is specified as an angle on a colorwheel,
923 # according to this color. Hue is specified as an angle on a colorwheel,
850 # see http://en.wikipedia.org/wiki/Hue for more information.
924 # see http://en.wikipedia.org/wiki/Hue for more information.
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
925 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
926 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 # The allowed range is 0 to 359.
927 # The allowed range is 0 to 359.
854
928
855 HTML_COLORSTYLE_HUE = 220
929 HTML_COLORSTYLE_HUE = 220
856
930
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
931 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 # the colors in the HTML output. For a value of 0 the output will use
932 # the colors in the HTML output. For a value of 0 the output will use
859 # grayscales only. A value of 255 will produce the most vivid colors.
933 # grayscales only. A value of 255 will produce the most vivid colors.
860
934
861 HTML_COLORSTYLE_SAT = 100
935 HTML_COLORSTYLE_SAT = 100
862
936
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
937 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 # the luminance component of the colors in the HTML output. Values below
938 # the luminance component of the colors in the HTML output. Values below
865 # 100 gradually make the output lighter, whereas values above 100 make
939 # 100 gradually make the output lighter, whereas values above 100 make
866 # the output darker. The value divided by 100 is the actual gamma applied,
940 # the output darker. The value divided by 100 is the actual gamma applied,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
941 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 # and 100 does not change the gamma.
942 # and 100 does not change the gamma.
869
943
870 HTML_COLORSTYLE_GAMMA = 80
944 HTML_COLORSTYLE_GAMMA = 80
871
945
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
946 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 # page will contain the date and time when the page was generated. Setting
947 # page will contain the date and time when the page was generated. Setting
874 # this to NO can help when comparing the output of multiple runs.
948 # this to NO can help when comparing the output of multiple runs.
875
949
876 HTML_TIMESTAMP = YES
950 HTML_TIMESTAMP = YES
877
951
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
952 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 # files or namespaces will be aligned in HTML using tables. If set to
953 # files or namespaces will be aligned in HTML using tables. If set to
880 # NO a bullet list will be used.
954 # NO a bullet list will be used.
881
955
882 HTML_ALIGN_MEMBERS = YES
956 HTML_ALIGN_MEMBERS = YES
883
957
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
958 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 # documentation will contain sections that can be hidden and shown after the
959 # documentation will contain sections that can be hidden and shown after the
886 # page has loaded. For this to work a browser that supports
960 # page has loaded. For this to work a browser that supports
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
961 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
962 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889
963
890 HTML_DYNAMIC_SECTIONS = NO
964 HTML_DYNAMIC_SECTIONS = NO
891
965
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
966 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 # will be generated that can be used as input for Apple's Xcode 3
967 # will be generated that can be used as input for Apple's Xcode 3
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
968 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 # To create a documentation set, doxygen will generate a Makefile in the
969 # To create a documentation set, doxygen will generate a Makefile in the
896 # HTML output directory. Running make will produce the docset in that
970 # HTML output directory. Running make will produce the docset in that
897 # directory and running "make install" will install the docset in
971 # directory and running "make install" will install the docset in
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
972 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 # it at startup.
973 # it at startup.
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
974 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 # for more information.
975 # for more information.
902
976
903 GENERATE_DOCSET = NO
977 GENERATE_DOCSET = NO
904
978
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
979 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 # feed. A documentation feed provides an umbrella under which multiple
980 # feed. A documentation feed provides an umbrella under which multiple
907 # documentation sets from a single provider (such as a company or product suite)
981 # documentation sets from a single provider (such as a company or product suite)
908 # can be grouped.
982 # can be grouped.
909
983
910 DOCSET_FEEDNAME = "Doxygen generated docs"
984 DOCSET_FEEDNAME = "Doxygen generated docs"
911
985
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
986 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 # should uniquely identify the documentation set bundle. This should be a
987 # should uniquely identify the documentation set bundle. This should be a
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
988 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 # will append .docset to the name.
989 # will append .docset to the name.
916
990
917 DOCSET_BUNDLE_ID = org.doxygen.Project
991 DOCSET_BUNDLE_ID = org.doxygen.Project
918
992
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
993 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 # the documentation publisher. This should be a reverse domain-name style
994 # the documentation publisher. This should be a reverse domain-name style
921 # string, e.g. com.mycompany.MyDocSet.documentation.
995 # string, e.g. com.mycompany.MyDocSet.documentation.
922
996
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
997 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924
998
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
999 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926
1000
927 DOCSET_PUBLISHER_NAME = Publisher
1001 DOCSET_PUBLISHER_NAME = Publisher
928
1002
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
1003 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 # will be generated that can be used as input for tools like the
1004 # will be generated that can be used as input for tools like the
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
1005 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 # of the generated HTML documentation.
1006 # of the generated HTML documentation.
933
1007
934 GENERATE_HTMLHELP = NO
1008 GENERATE_HTMLHELP = NO
935
1009
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
1010 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 # be used to specify the file name of the resulting .chm file. You
1011 # be used to specify the file name of the resulting .chm file. You
938 # can add a path in front of the file if the result should not be
1012 # can add a path in front of the file if the result should not be
939 # written to the html output directory.
1013 # written to the html output directory.
940
1014
941 CHM_FILE =
1015 CHM_FILE =
942
1016
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
1017 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 # be used to specify the location (absolute path including file name) of
1018 # be used to specify the location (absolute path including file name) of
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
1019 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 # the HTML help compiler on the generated index.hhp.
1020 # the HTML help compiler on the generated index.hhp.
947
1021
948 HHC_LOCATION =
1022 HHC_LOCATION =
949
1023
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
1024 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 # controls if a separate .chi index file is generated (YES) or that
1025 # controls if a separate .chi index file is generated (YES) or that
952 # it should be included in the master .chm file (NO).
1026 # it should be included in the master .chm file (NO).
953
1027
954 GENERATE_CHI = NO
1028 GENERATE_CHI = NO
955
1029
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
1030 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
1031 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 # content.
1032 # content.
959
1033
960 CHM_INDEX_ENCODING =
1034 CHM_INDEX_ENCODING =
961
1035
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
1036 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 # controls whether a binary table of contents is generated (YES) or a
1037 # controls whether a binary table of contents is generated (YES) or a
964 # normal table of contents (NO) in the .chm file.
1038 # normal table of contents (NO) in the .chm file.
965
1039
966 BINARY_TOC = NO
1040 BINARY_TOC = NO
967
1041
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
1042 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 # to the contents of the HTML help documentation and to the tree view.
1043 # to the contents of the HTML help documentation and to the tree view.
970
1044
971 TOC_EXPAND = NO
1045 TOC_EXPAND = NO
972
1046
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
1047 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
1048 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 # that can be used as input for Qt's qhelpgenerator to generate a
1049 # that can be used as input for Qt's qhelpgenerator to generate a
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
1050 # Qt Compressed Help (.qch) of the generated HTML documentation.
977
1051
978 GENERATE_QHP = NO
1052 GENERATE_QHP = NO
979
1053
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
1054 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 # be used to specify the file name of the resulting .qch file.
1055 # be used to specify the file name of the resulting .qch file.
982 # The path specified is relative to the HTML output folder.
1056 # The path specified is relative to the HTML output folder.
983
1057
984 QCH_FILE =
1058 QCH_FILE =
985
1059
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
1060 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 # Qt Help Project output. For more information please see
1061 # Qt Help Project output. For more information please see
988 # http://doc.trolltech.com/qthelpproject.html#namespace
1062 # http://doc.trolltech.com/qthelpproject.html#namespace
989
1063
990 QHP_NAMESPACE = org.doxygen.Project
1064 QHP_NAMESPACE = org.doxygen.Project
991
1065
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
1066 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 # Qt Help Project output. For more information please see
1067 # Qt Help Project output. For more information please see
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
1068 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995
1069
996 QHP_VIRTUAL_FOLDER = doc
1070 QHP_VIRTUAL_FOLDER = doc
997
1071
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
1072 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 # add. For more information please see
1073 # add. For more information please see
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1074 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001
1075
1002 QHP_CUST_FILTER_NAME =
1076 QHP_CUST_FILTER_NAME =
1003
1077
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1078 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 # custom filter to add. For more information please see
1079 # custom filter to add. For more information please see
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1080 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 # Qt Help Project / Custom Filters</a>.
1081 # Qt Help Project / Custom Filters</a>.
1008
1082
1009 QHP_CUST_FILTER_ATTRS =
1083 QHP_CUST_FILTER_ATTRS =
1010
1084
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1085 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 # project's
1086 # project's
1013 # filter section matches.
1087 # filter section matches.
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1088 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 # Qt Help Project / Filter Attributes</a>.
1089 # Qt Help Project / Filter Attributes</a>.
1016
1090
1017 QHP_SECT_FILTER_ATTRS =
1091 QHP_SECT_FILTER_ATTRS =
1018
1092
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1093 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 # be used to specify the location of Qt's qhelpgenerator.
1094 # be used to specify the location of Qt's qhelpgenerator.
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1095 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 # .qhp file.
1096 # .qhp file.
1023
1097
1024 QHG_LOCATION =
1098 QHG_LOCATION =
1025
1099
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1100 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 # will be generated, which together with the HTML files, form an Eclipse help
1101 # will be generated, which together with the HTML files, form an Eclipse help
1028 # plugin. To install this plugin and make it available under the help contents
1102 # plugin. To install this plugin and make it available under the help contents
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1103 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1104 # files needs to be copied into the plugins directory of eclipse. The name of
1031 # the directory within the plugins directory should be the same as
1105 # the directory within the plugins directory should be the same as
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1106 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 # the help appears.
1107 # the help appears.
1034
1108
1035 GENERATE_ECLIPSEHELP = NO
1109 GENERATE_ECLIPSEHELP = NO
1036
1110
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1111 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 # the directory name containing the HTML and XML files should also have
1112 # the directory name containing the HTML and XML files should also have
1039 # this name.
1113 # this name.
1040
1114
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1115 ECLIPSE_DOC_ID = org.doxygen.Project
1042
1116
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1117 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 # top of each HTML page. The value NO (the default) enables the index and
1118 # top of each HTML page. The value NO (the default) enables the index and
1045 # the value YES disables it.
1119 # the value YES disables it.
1046
1120
1047 DISABLE_INDEX = NO
1121 DISABLE_INDEX = NO
1048
1122
1049 # This tag can be used to set the number of enum values (range [1..20])
1123 # The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values
1050 # that doxygen will group on one line in the generated HTML documentation.
1124 # (range [0,1..20]) that doxygen will group on one line in the generated HTML
1125 # documentation. Note that a value of 0 will completely suppress the enum
1126 # values from appearing in the overview section.
1051
1127
1052 ENUM_VALUES_PER_LINE = 4
1128 ENUM_VALUES_PER_LINE = 4
1053
1129
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1130 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 # structure should be generated to display hierarchical information.
1131 # structure should be generated to display hierarchical information.
1056 # If the tag value is set to YES, a side panel will be generated
1132 # If the tag value is set to YES, a side panel will be generated
1057 # containing a tree-like index structure (just like the one that
1133 # containing a tree-like index structure (just like the one that
1058 # is generated for HTML Help). For this to work a browser that supports
1134 # is generated for HTML Help). For this to work a browser that supports
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1135 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 # Windows users are probably better off using the HTML help feature.
1136 # Windows users are probably better off using the HTML help feature.
1061
1137
1062 GENERATE_TREEVIEW = YES
1138 GENERATE_TREEVIEW = YES
1063
1139
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1140 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1141 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066
1142
1067 USE_INLINE_TREES = NO
1143 USE_INLINE_TREES = NO
1068
1144
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1145 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 # used to set the initial width (in pixels) of the frame in which the tree
1146 # used to set the initial width (in pixels) of the frame in which the tree
1071 # is shown.
1147 # is shown.
1072
1148
1073 TREEVIEW_WIDTH = 250
1149 TREEVIEW_WIDTH = 250
1074
1150
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1151 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 # links to external symbols imported via tag files in a separate window.
1152 # links to external symbols imported via tag files in a separate window.
1077
1153
1078 EXT_LINKS_IN_WINDOW = NO
1154 EXT_LINKS_IN_WINDOW = NO
1079
1155
1080 # Use this tag to change the font size of Latex formulas included
1156 # Use this tag to change the font size of Latex formulas included
1081 # as images in the HTML documentation. The default is 10. Note that
1157 # as images in the HTML documentation. The default is 10. Note that
1082 # when you change the font size after a successful doxygen run you need
1158 # when you change the font size after a successful doxygen run you need
1083 # to manually remove any form_*.png images from the HTML output directory
1159 # to manually remove any form_*.png images from the HTML output directory
1084 # to force them to be regenerated.
1160 # to force them to be regenerated.
1085
1161
1086 FORMULA_FONTSIZE = 10
1162 FORMULA_FONTSIZE = 10
1087
1163
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1164 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1165 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1166 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 # Note that when changing this option you need to delete any form_*.png files
1167 # Note that when changing this option you need to delete any form_*.png files
1092 # in the HTML output before the changes have effect.
1168 # in the HTML output before the changes have effect.
1093
1169
1094 FORMULA_TRANSPARENT = YES
1170 FORMULA_TRANSPARENT = YES
1095
1171
1172 # Enable the USE_MATHJAX option to render LaTeX formulas using MathJax
1173 # (see http://www.mathjax.org) which uses client side Javascript for the
1174 # rendering instead of using prerendered bitmaps. Use this if you do not
1175 # have LaTeX installed or if you want to formulas look prettier in the HTML
1176 # output. When enabled you also need to install MathJax separately and
1177 # configure the path to it using the MATHJAX_RELPATH option.
1178
1179 USE_MATHJAX = NO
1180
1181 # When MathJax is enabled you need to specify the location relative to the
1182 # HTML output directory using the MATHJAX_RELPATH option. The destination
1183 # directory should contain the MathJax.js script. For instance, if the mathjax
1184 # directory is located at the same level as the HTML output directory, then
1185 # MATHJAX_RELPATH should be ../mathjax. The default value points to the
1186 # mathjax.org site, so you can quickly see the result without installing
1187 # MathJax, but it is strongly recommended to install a local copy of MathJax
1188 # before deployment.
1189
1190 MATHJAX_RELPATH = http://www.mathjax.org/mathjax
1191
1192 # The MATHJAX_EXTENSIONS tag can be used to specify one or MathJax extension
1193 # names that should be enabled during MathJax rendering.
1194
1195 MATHJAX_EXTENSIONS =
1196
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1197 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 # for the HTML output. The underlying search engine uses javascript
1198 # for the HTML output. The underlying search engine uses javascript
1098 # and DHTML and should work on any modern browser. Note that when using
1199 # and DHTML and should work on any modern browser. Note that when using
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1200 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1201 # (GENERATE_DOCSET) there is already a search function so this one should
1101 # typically be disabled. For large projects the javascript based search engine
1202 # typically be disabled. For large projects the javascript based search engine
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1203 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103
1204
1104 SEARCHENGINE = YES
1205 SEARCHENGINE = YES
1105
1206
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1207 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 # implemented using a PHP enabled web server instead of at the web client
1208 # implemented using a PHP enabled web server instead of at the web client
1108 # using Javascript. Doxygen will generate the search PHP script and index
1209 # using Javascript. Doxygen will generate the search PHP script and index
1109 # file to put on the web server. The advantage of the server
1210 # file to put on the web server. The advantage of the server
1110 # based approach is that it scales better to large projects and allows
1211 # based approach is that it scales better to large projects and allows
1111 # full text search. The disadvances is that it is more difficult to setup
1212 # full text search. The disadvantages are that it is more difficult to setup
1112 # and does not have live searching capabilities.
1213 # and does not have live searching capabilities.
1113
1214
1114 SERVER_BASED_SEARCH = NO
1215 SERVER_BASED_SEARCH = NO
1115
1216
1116 #---------------------------------------------------------------------------
1217 #---------------------------------------------------------------------------
1117 # configuration options related to the LaTeX output
1218 # configuration options related to the LaTeX output
1118 #---------------------------------------------------------------------------
1219 #---------------------------------------------------------------------------
1119
1220
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1221 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 # generate Latex output.
1222 # generate Latex output.
1122
1223
1123 GENERATE_LATEX = YES
1224 GENERATE_LATEX = YES
1124
1225
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1226 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1227 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 # put in front of it. If left blank `latex' will be used as the default path.
1228 # put in front of it. If left blank `latex' will be used as the default path.
1128
1229
1129 LATEX_OUTPUT = latex
1230 LATEX_OUTPUT = latex
1130
1231
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1232 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 # invoked. If left blank `latex' will be used as the default command name.
1233 # invoked. If left blank `latex' will be used as the default command name.
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1234 # Note that when enabling USE_PDFLATEX this option is only used for
1134 # generating bitmaps for formulas in the HTML output, but not in the
1235 # generating bitmaps for formulas in the HTML output, but not in the
1135 # Makefile that is written to the output directory.
1236 # Makefile that is written to the output directory.
1136
1237
1137 LATEX_CMD_NAME = latex
1238 LATEX_CMD_NAME = latex
1138
1239
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1240 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1241 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 # default command name.
1242 # default command name.
1142
1243
1143 MAKEINDEX_CMD_NAME = makeindex
1244 MAKEINDEX_CMD_NAME = makeindex
1144
1245
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1246 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 # LaTeX documents. This may be useful for small projects and may help to
1247 # LaTeX documents. This may be useful for small projects and may help to
1147 # save some trees in general.
1248 # save some trees in general.
1148
1249
1149 COMPACT_LATEX = YES
1250 COMPACT_LATEX = NO
1150
1251
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1252 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1253 # by the printer. Possible values are: a4, letter, legal and
1153 # executive. If left blank a4wide will be used.
1254 # executive. If left blank a4wide will be used.
1154
1255
1155 PAPER_TYPE = letter
1256 PAPER_TYPE = a4wide
1156
1257
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1258 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 # packages that should be included in the LaTeX output.
1259 # packages that should be included in the LaTeX output.
1159
1260
1160 EXTRA_PACKAGES =
1261 EXTRA_PACKAGES =
1161
1262
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1263 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 # the generated latex document. The header should contain everything until
1264 # the generated latex document. The header should contain everything until
1164 # the first chapter. If it is left blank doxygen will generate a
1265 # the first chapter. If it is left blank doxygen will generate a
1165 # standard header. Notice: only use this tag if you know what you are doing!
1266 # standard header. Notice: only use this tag if you know what you are doing!
1166
1267
1167 LATEX_HEADER =
1268 LATEX_HEADER =
1168
1269
1270 # The LATEX_FOOTER tag can be used to specify a personal LaTeX footer for
1271 # the generated latex document. The footer should contain everything after
1272 # the last chapter. If it is left blank doxygen will generate a
1273 # standard footer. Notice: only use this tag if you know what you are doing!
1274
1275 LATEX_FOOTER =
1276
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1277 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1278 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 # contain links (just like the HTML output) instead of page references
1279 # contain links (just like the HTML output) instead of page references
1172 # This makes the output suitable for online browsing using a pdf viewer.
1280 # This makes the output suitable for online browsing using a pdf viewer.
1173
1281
1174 PDF_HYPERLINKS = YES
1282 PDF_HYPERLINKS = YES
1175
1283
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1284 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1285 # plain latex in the generated Makefile. Set this option to YES to get a
1178 # higher quality PDF documentation.
1286 # higher quality PDF documentation.
1179
1287
1180 USE_PDFLATEX = YES
1288 USE_PDFLATEX = YES
1181
1289
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1290 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1291 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 # running if errors occur, instead of asking the user for help.
1292 # running if errors occur, instead of asking the user for help.
1185 # This option is also used when generating formulas in HTML.
1293 # This option is also used when generating formulas in HTML.
1186
1294
1187 LATEX_BATCHMODE = NO
1295 LATEX_BATCHMODE = NO
1188
1296
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1297 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1298 # include the index chapters (such as File Index, Compound Index, etc.)
1191 # in the output.
1299 # in the output.
1192
1300
1193 LATEX_HIDE_INDICES = NO
1301 LATEX_HIDE_INDICES = NO
1194
1302
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1303 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 # source code with syntax highlighting in the LaTeX output.
1304 # source code with syntax highlighting in the LaTeX output.
1197 # Note that which sources are shown also depends on other settings
1305 # Note that which sources are shown also depends on other settings
1198 # such as SOURCE_BROWSER.
1306 # such as SOURCE_BROWSER.
1199
1307
1200 LATEX_SOURCE_CODE = NO
1308 LATEX_SOURCE_CODE = NO
1201
1309
1310 # The LATEX_BIB_STYLE tag can be used to specify the style to use for the
1311 # bibliography, e.g. plainnat, or ieeetr. The default style is "plain". See
1312 # http://en.wikipedia.org/wiki/BibTeX for more info.
1313
1314 LATEX_BIB_STYLE = plain
1315
1202 #---------------------------------------------------------------------------
1316 #---------------------------------------------------------------------------
1203 # configuration options related to the RTF output
1317 # configuration options related to the RTF output
1204 #---------------------------------------------------------------------------
1318 #---------------------------------------------------------------------------
1205
1319
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1320 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1321 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 # other RTF readers or editors.
1322 # other RTF readers or editors.
1209
1323
1210 GENERATE_RTF = NO
1324 GENERATE_RTF = NO
1211
1325
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1326 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1327 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1328 # put in front of it. If left blank `rtf' will be used as the default path.
1215
1329
1216 RTF_OUTPUT = rtf
1330 RTF_OUTPUT = rtf
1217
1331
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1332 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 # RTF documents. This may be useful for small projects and may help to
1333 # RTF documents. This may be useful for small projects and may help to
1220 # save some trees in general.
1334 # save some trees in general.
1221
1335
1222 COMPACT_RTF = NO
1336 COMPACT_RTF = NO
1223
1337
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1338 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 # will contain hyperlink fields. The RTF file will
1339 # will contain hyperlink fields. The RTF file will
1226 # contain links (just like the HTML output) instead of page references.
1340 # contain links (just like the HTML output) instead of page references.
1227 # This makes the output suitable for online browsing using WORD or other
1341 # This makes the output suitable for online browsing using WORD or other
1228 # programs which support those fields.
1342 # programs which support those fields.
1229 # Note: wordpad (write) and others do not support links.
1343 # Note: wordpad (write) and others do not support links.
1230
1344
1231 RTF_HYPERLINKS = NO
1345 RTF_HYPERLINKS = NO
1232
1346
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1347 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 # config file, i.e. a series of assignments. You only have to provide
1348 # config file, i.e. a series of assignments. You only have to provide
1235 # replacements, missing definitions are set to their default value.
1349 # replacements, missing definitions are set to their default value.
1236
1350
1237 RTF_STYLESHEET_FILE =
1351 RTF_STYLESHEET_FILE =
1238
1352
1239 # Set optional variables used in the generation of an rtf document.
1353 # Set optional variables used in the generation of an rtf document.
1240 # Syntax is similar to doxygen's config file.
1354 # Syntax is similar to doxygen's config file.
1241
1355
1242 RTF_EXTENSIONS_FILE =
1356 RTF_EXTENSIONS_FILE =
1243
1357
1244 #---------------------------------------------------------------------------
1358 #---------------------------------------------------------------------------
1245 # configuration options related to the man page output
1359 # configuration options related to the man page output
1246 #---------------------------------------------------------------------------
1360 #---------------------------------------------------------------------------
1247
1361
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1362 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 # generate man pages
1363 # generate man pages
1250
1364
1251 GENERATE_MAN = YES
1365 GENERATE_MAN = YES
1252
1366
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1367 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1368 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 # put in front of it. If left blank `man' will be used as the default path.
1369 # put in front of it. If left blank `man' will be used as the default path.
1256
1370
1257 MAN_OUTPUT = man
1371 MAN_OUTPUT = man
1258
1372
1259 # The MAN_EXTENSION tag determines the extension that is added to
1373 # The MAN_EXTENSION tag determines the extension that is added to
1260 # the generated man pages (default is the subroutine's section .3)
1374 # the generated man pages (default is the subroutine's section .3)
1261
1375
1262 MAN_EXTENSION = .3
1376 MAN_EXTENSION = .3
1263
1377
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1378 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 # then it will generate one additional man file for each entity
1379 # then it will generate one additional man file for each entity
1266 # documented in the real man page(s). These additional files
1380 # documented in the real man page(s). These additional files
1267 # only source the real man page, but without them the man command
1381 # only source the real man page, but without them the man command
1268 # would be unable to find the correct page. The default is NO.
1382 # would be unable to find the correct page. The default is NO.
1269
1383
1270 MAN_LINKS = NO
1384 MAN_LINKS = NO
1271
1385
1272 #---------------------------------------------------------------------------
1386 #---------------------------------------------------------------------------
1273 # configuration options related to the XML output
1387 # configuration options related to the XML output
1274 #---------------------------------------------------------------------------
1388 #---------------------------------------------------------------------------
1275
1389
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1390 # If the GENERATE_XML tag is set to YES Doxygen will
1277 # generate an XML file that captures the structure of
1391 # generate an XML file that captures the structure of
1278 # the code including all documentation.
1392 # the code including all documentation.
1279
1393
1280 GENERATE_XML = NO
1394 GENERATE_XML = NO
1281
1395
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1396 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1397 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 # put in front of it. If left blank `xml' will be used as the default path.
1398 # put in front of it. If left blank `xml' will be used as the default path.
1285
1399
1286 XML_OUTPUT = xml
1400 XML_OUTPUT = xml
1287
1401
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1402 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 # which can be used by a validating XML parser to check the
1403 # which can be used by a validating XML parser to check the
1290 # syntax of the XML files.
1404 # syntax of the XML files.
1291
1405
1292 XML_SCHEMA =
1406 XML_SCHEMA =
1293
1407
1294 # The XML_DTD tag can be used to specify an XML DTD,
1408 # The XML_DTD tag can be used to specify an XML DTD,
1295 # which can be used by a validating XML parser to check the
1409 # which can be used by a validating XML parser to check the
1296 # syntax of the XML files.
1410 # syntax of the XML files.
1297
1411
1298 XML_DTD =
1412 XML_DTD =
1299
1413
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1414 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 # dump the program listings (including syntax highlighting
1415 # dump the program listings (including syntax highlighting
1302 # and cross-referencing information) to the XML output. Note that
1416 # and cross-referencing information) to the XML output. Note that
1303 # enabling this will significantly increase the size of the XML output.
1417 # enabling this will significantly increase the size of the XML output.
1304
1418
1305 XML_PROGRAMLISTING = YES
1419 XML_PROGRAMLISTING = YES
1306
1420
1307 #---------------------------------------------------------------------------
1421 #---------------------------------------------------------------------------
1308 # configuration options for the AutoGen Definitions output
1422 # configuration options for the AutoGen Definitions output
1309 #---------------------------------------------------------------------------
1423 #---------------------------------------------------------------------------
1310
1424
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1425 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1426 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 # that captures the structure of the code including all
1427 # that captures the structure of the code including all
1314 # documentation. Note that this feature is still experimental
1428 # documentation. Note that this feature is still experimental
1315 # and incomplete at the moment.
1429 # and incomplete at the moment.
1316
1430
1317 GENERATE_AUTOGEN_DEF = NO
1431 GENERATE_AUTOGEN_DEF = NO
1318
1432
1319 #---------------------------------------------------------------------------
1433 #---------------------------------------------------------------------------
1320 # configuration options related to the Perl module output
1434 # configuration options related to the Perl module output
1321 #---------------------------------------------------------------------------
1435 #---------------------------------------------------------------------------
1322
1436
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1437 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 # generate a Perl module file that captures the structure of
1438 # generate a Perl module file that captures the structure of
1325 # the code including all documentation. Note that this
1439 # the code including all documentation. Note that this
1326 # feature is still experimental and incomplete at the
1440 # feature is still experimental and incomplete at the
1327 # moment.
1441 # moment.
1328
1442
1329 GENERATE_PERLMOD = NO
1443 GENERATE_PERLMOD = NO
1330
1444
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1445 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1446 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 # to generate PDF and DVI output from the Perl module output.
1447 # to generate PDF and DVI output from the Perl module output.
1334
1448
1335 PERLMOD_LATEX = NO
1449 PERLMOD_LATEX = NO
1336
1450
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1451 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1452 # nicely formatted so it can be parsed by a human reader. This is useful
1339 # if you want to understand what is going on. On the other hand, if this
1453 # if you want to understand what is going on. On the other hand, if this
1340 # tag is set to NO the size of the Perl module output will be much smaller
1454 # tag is set to NO the size of the Perl module output will be much smaller
1341 # and Perl will parse it just the same.
1455 # and Perl will parse it just the same.
1342
1456
1343 PERLMOD_PRETTY = YES
1457 PERLMOD_PRETTY = YES
1344
1458
1345 # The names of the make variables in the generated doxyrules.make file
1459 # The names of the make variables in the generated doxyrules.make file
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1460 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 # This is useful so different doxyrules.make files included by the same
1461 # This is useful so different doxyrules.make files included by the same
1348 # Makefile don't overwrite each other's variables.
1462 # Makefile don't overwrite each other's variables.
1349
1463
1350 PERLMOD_MAKEVAR_PREFIX =
1464 PERLMOD_MAKEVAR_PREFIX =
1351
1465
1352 #---------------------------------------------------------------------------
1466 #---------------------------------------------------------------------------
1353 # Configuration options related to the preprocessor
1467 # Configuration options related to the preprocessor
1354 #---------------------------------------------------------------------------
1468 #---------------------------------------------------------------------------
1355
1469
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1470 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 # evaluate all C-preprocessor directives found in the sources and include
1471 # evaluate all C-preprocessor directives found in the sources and include
1358 # files.
1472 # files.
1359
1473
1360 ENABLE_PREPROCESSING = YES
1474 ENABLE_PREPROCESSING = YES
1361
1475
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1476 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 # names in the source code. If set to NO (the default) only conditional
1477 # names in the source code. If set to NO (the default) only conditional
1364 # compilation will be performed. Macro expansion can be done in a controlled
1478 # compilation will be performed. Macro expansion can be done in a controlled
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1479 # way by setting EXPAND_ONLY_PREDEF to YES.
1366
1480
1367 MACRO_EXPANSION = NO
1481 MACRO_EXPANSION = NO
1368
1482
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1483 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 # then the macro expansion is limited to the macros specified with the
1484 # then the macro expansion is limited to the macros specified with the
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1485 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372
1486
1373 EXPAND_ONLY_PREDEF = NO
1487 EXPAND_ONLY_PREDEF = NO
1374
1488
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1489 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1490 # pointed to by INCLUDE_PATH will be searched when a #include is found.
1377
1491
1378 SEARCH_INCLUDES = YES
1492 SEARCH_INCLUDES = YES
1379
1493
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1494 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 # contain include files that are not input files but should be processed by
1495 # contain include files that are not input files but should be processed by
1382 # the preprocessor.
1496 # the preprocessor.
1383
1497
1384 INCLUDE_PATH =
1498 INCLUDE_PATH =
1385
1499
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1500 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1501 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1502 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 # be used.
1503 # be used.
1390
1504
1391 INCLUDE_FILE_PATTERNS =
1505 INCLUDE_FILE_PATTERNS =
1392
1506
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1507 # The PREDEFINED tag can be used to specify one or more macro names that
1394 # are defined before the preprocessor is started (similar to the -D option of
1508 # are defined before the preprocessor is started (similar to the -D option of
1395 # gcc). The argument of the tag is a list of macros of the form: name
1509 # gcc). The argument of the tag is a list of macros of the form: name
1396 # or name=definition (no spaces). If the definition and the = are
1510 # or name=definition (no spaces). If the definition and the = are
1397 # omitted =1 is assumed. To prevent a macro definition from being
1511 # omitted =1 is assumed. To prevent a macro definition from being
1398 # undefined via #undef or recursively expanded use the := operator
1512 # undefined via #undef or recursively expanded use the := operator
1399 # instead of the = operator.
1513 # instead of the = operator.
1400
1514
1401 PREDEFINED =
1515 PREDEFINED =
1402
1516
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1517 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 # this tag can be used to specify a list of macro names that should be expanded.
1518 # this tag can be used to specify a list of macro names that should be expanded.
1405 # The macro definition that is found in the sources will be used.
1519 # The macro definition that is found in the sources will be used.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1520 # Use the PREDEFINED tag if you want to use a different macro definition that
1521 # overrules the definition found in the source code.
1407
1522
1408 EXPAND_AS_DEFINED =
1523 EXPAND_AS_DEFINED =
1409
1524
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1525 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1526 # doxygen's preprocessor will remove all references to function-like macros
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1527 # that are alone on a line, have an all uppercase name, and do not end with a
1413 # function macros are typically used for boiler-plate code, and will confuse
1528 # semicolon, because these will confuse the parser if not removed.
1414 # the parser if not removed.
1415
1529
1416 SKIP_FUNCTION_MACROS = YES
1530 SKIP_FUNCTION_MACROS = YES
1417
1531
1418 #---------------------------------------------------------------------------
1532 #---------------------------------------------------------------------------
1419 # Configuration::additions related to external references
1533 # Configuration::additions related to external references
1420 #---------------------------------------------------------------------------
1534 #---------------------------------------------------------------------------
1421
1535
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1536 # The TAGFILES option can be used to specify one or more tagfiles.
1423 # Optionally an initial location of the external documentation
1537 # Optionally an initial location of the external documentation
1424 # can be added for each tagfile. The format of a tag file without
1538 # can be added for each tagfile. The format of a tag file without
1425 # this location is as follows:
1539 # this location is as follows:
1426 # TAGFILES = file1 file2 ...
1540 # TAGFILES = file1 file2 ...
1427 # Adding location for the tag files is done as follows:
1541 # Adding location for the tag files is done as follows:
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1542 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1543 # where "loc1" and "loc2" can be relative or absolute paths or
1430 # URLs. If a location is present for each tag, the installdox tool
1544 # URLs. If a location is present for each tag, the installdox tool
1431 # does not have to be run to correct the links.
1545 # does not have to be run to correct the links.
1432 # Note that each tag file must have a unique name
1546 # Note that each tag file must have a unique name
1433 # (where the name does NOT include the path)
1547 # (where the name does NOT include the path)
1434 # If a tag file is not located in the directory in which doxygen
1548 # If a tag file is not located in the directory in which doxygen
1435 # is run, you must also specify the path to the tagfile here.
1549 # is run, you must also specify the path to the tagfile here.
1436
1550
1437 TAGFILES =
1551 TAGFILES =
1438
1552
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1553 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 # a tag file that is based on the input files it reads.
1554 # a tag file that is based on the input files it reads.
1441
1555
1442 GENERATE_TAGFILE =
1556 GENERATE_TAGFILE =
1443
1557
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1558 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 # in the class index. If set to NO only the inherited external classes
1559 # in the class index. If set to NO only the inherited external classes
1446 # will be listed.
1560 # will be listed.
1447
1561
1448 ALLEXTERNALS = NO
1562 ALLEXTERNALS = NO
1449
1563
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1564 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 # in the modules index. If set to NO, only the current project's groups will
1565 # in the modules index. If set to NO, only the current project's groups will
1452 # be listed.
1566 # be listed.
1453
1567
1454 EXTERNAL_GROUPS = YES
1568 EXTERNAL_GROUPS = YES
1455
1569
1456 # The PERL_PATH should be the absolute path and name of the perl script
1570 # The PERL_PATH should be the absolute path and name of the perl script
1457 # interpreter (i.e. the result of `which perl').
1571 # interpreter (i.e. the result of `which perl').
1458
1572
1459 PERL_PATH = /usr/bin/perl
1573 PERL_PATH = /usr/bin/perl
1460
1574
1461 #---------------------------------------------------------------------------
1575 #---------------------------------------------------------------------------
1462 # Configuration options related to the dot tool
1576 # Configuration options related to the dot tool
1463 #---------------------------------------------------------------------------
1577 #---------------------------------------------------------------------------
1464
1578
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1579 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1580 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1581 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1582 # this option also works with HAVE_DOT disabled, but it is recommended to
1469 # fallback. It is recommended to install and use dot, since it yields more
1583 # install and use dot, since it yields more powerful graphs.
1470 # powerful graphs.
1471
1584
1472 CLASS_DIAGRAMS = NO
1585 CLASS_DIAGRAMS = YES
1473
1586
1474 # You can define message sequence charts within doxygen comments using the \msc
1587 # You can define message sequence charts within doxygen comments using the \msc
1475 # command. Doxygen will then run the mscgen tool (see
1588 # command. Doxygen will then run the mscgen tool (see
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1589 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1590 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1591 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 # default search path.
1592 # default search path.
1480
1593
1481 MSCGEN_PATH =
1594 MSCGEN_PATH =
1482
1595
1483 # If set to YES, the inheritance and collaboration graphs will hide
1596 # If set to YES, the inheritance and collaboration graphs will hide
1484 # inheritance and usage relations if the target is undocumented
1597 # inheritance and usage relations if the target is undocumented
1485 # or is not a class.
1598 # or is not a class.
1486
1599
1487 HIDE_UNDOC_RELATIONS = YES
1600 HIDE_UNDOC_RELATIONS = YES
1488
1601
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1602 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1603 # available from the path. This tool is part of Graphviz, a graph visualization
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1604 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 # have no effect if this option is set to NO (the default)
1605 # have no effect if this option is set to NO (the default)
1493
1606
1494 HAVE_DOT = YES
1607 HAVE_DOT = YES
1495
1608
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1609 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1610 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 # base this on the number of processors available in the system. You can set it
1611 # base this on the number of processors available in the system. You can set it
1499 # explicitly to a value larger than 0 to get control over the balance
1612 # explicitly to a value larger than 0 to get control over the balance
1500 # between CPU load and processing speed.
1613 # between CPU load and processing speed.
1501
1614
1502 DOT_NUM_THREADS = 0
1615 DOT_NUM_THREADS = 0
1503
1616
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1617 # By default doxygen will use the Helvetica font for all dot files that
1505 # directory and reference it in all dot files that doxygen generates. This
1618 # doxygen generates. When you want a differently looking font you can specify
1506 # font does not include all possible unicode characters however, so when you need
1619 # the font name using DOT_FONTNAME. You need to make sure dot is able to find
1507 # these (or just want a differently looking font) you can specify the font name
1620 # the font, which can be done by putting it in a standard location or by setting
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1621 # the DOTFONTPATH environment variable or by setting DOT_FONTPATH to the
1509 # which can be done by putting it in a standard location or by setting the
1622 # directory containing the font.
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 # containing the font.
1512
1623
1513 DOT_FONTNAME = FreeSans.ttf
1624 DOT_FONTNAME = FreeSans.ttf
1514
1625
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1626 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 # The default size is 10pt.
1627 # The default size is 10pt.
1517
1628
1518 DOT_FONTSIZE = 10
1629 DOT_FONTSIZE = 10
1519
1630
1520 # By default doxygen will tell dot to use the output directory to look for the
1631 # By default doxygen will tell dot to use the Helvetica font.
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1632 # If you specify a different font using DOT_FONTNAME you can use DOT_FONTPATH to
1522 # different font using DOT_FONTNAME you can set the path where dot
1633 # set the path where dot can find it.
1523 # can find it using this tag.
1524
1634
1525 DOT_FONTPATH =
1635 DOT_FONTPATH =
1526
1636
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1637 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 # will generate a graph for each documented class showing the direct and
1638 # will generate a graph for each documented class showing the direct and
1529 # indirect inheritance relations. Setting this tag to YES will force the
1639 # indirect inheritance relations. Setting this tag to YES will force the
1530 # the CLASS_DIAGRAMS tag to NO.
1640 # the CLASS_DIAGRAMS tag to NO.
1531
1641
1532 CLASS_GRAPH = YES
1642 CLASS_GRAPH = YES
1533
1643
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1644 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 # will generate a graph for each documented class showing the direct and
1645 # will generate a graph for each documented class showing the direct and
1536 # indirect implementation dependencies (inheritance, containment, and
1646 # indirect implementation dependencies (inheritance, containment, and
1537 # class references variables) of the class with other documented classes.
1647 # class references variables) of the class with other documented classes.
1538
1648
1539 COLLABORATION_GRAPH = NO
1649 COLLABORATION_GRAPH = NO
1540
1650
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1651 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 # will generate a graph for groups, showing the direct groups dependencies
1652 # will generate a graph for groups, showing the direct groups dependencies
1543
1653
1544 GROUP_GRAPHS = YES
1654 GROUP_GRAPHS = YES
1545
1655
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1656 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1657 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 # Language.
1658 # Language.
1549
1659
1550 UML_LOOK = YES
1660 UML_LOOK = NO
1551
1661
1552 # If set to YES, the inheritance and collaboration graphs will show the
1662 # If set to YES, the inheritance and collaboration graphs will show the
1553 # relations between templates and their instances.
1663 # relations between templates and their instances.
1554
1664
1555 TEMPLATE_RELATIONS = NO
1665 TEMPLATE_RELATIONS = NO
1556
1666
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1667 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 # tags are set to YES then doxygen will generate a graph for each documented
1668 # tags are set to YES then doxygen will generate a graph for each documented
1559 # file showing the direct and indirect include dependencies of the file with
1669 # file showing the direct and indirect include dependencies of the file with
1560 # other documented files.
1670 # other documented files.
1561
1671
1562 INCLUDE_GRAPH = YES
1672 INCLUDE_GRAPH = YES
1563
1673
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1674 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1675 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 # documented header file showing the documented files that directly or
1676 # documented header file showing the documented files that directly or
1567 # indirectly include this file.
1677 # indirectly include this file.
1568
1678
1569 INCLUDED_BY_GRAPH = YES
1679 INCLUDED_BY_GRAPH = YES
1570
1680
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1681 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 # doxygen will generate a call dependency graph for every global function
1682 # doxygen will generate a call dependency graph for every global function
1573 # or class method. Note that enabling this option will significantly increase
1683 # or class method. Note that enabling this option will significantly increase
1574 # the time of a run. So in most cases it will be better to enable call graphs
1684 # the time of a run. So in most cases it will be better to enable call graphs
1575 # for selected functions only using the \callgraph command.
1685 # for selected functions only using the \callgraph command.
1576
1686
1577 CALL_GRAPH = NO
1687 CALL_GRAPH = NO
1578
1688
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1689 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 # doxygen will generate a caller dependency graph for every global function
1690 # doxygen will generate a caller dependency graph for every global function
1581 # or class method. Note that enabling this option will significantly increase
1691 # or class method. Note that enabling this option will significantly increase
1582 # the time of a run. So in most cases it will be better to enable caller
1692 # the time of a run. So in most cases it will be better to enable caller
1583 # graphs for selected functions only using the \callergraph command.
1693 # graphs for selected functions only using the \callergraph command.
1584
1694
1585 CALLER_GRAPH = NO
1695 CALLER_GRAPH = NO
1586
1696
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1697 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 # will graphical hierarchy of all classes instead of a textual one.
1698 # will generate a graphical hierarchy of all classes instead of a textual one.
1589
1699
1590 GRAPHICAL_HIERARCHY = YES
1700 GRAPHICAL_HIERARCHY = YES
1591
1701
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1702 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 # then doxygen will show the dependencies a directory has on other directories
1703 # then doxygen will show the dependencies a directory has on other directories
1594 # in a graphical way. The dependency relations are determined by the #include
1704 # in a graphical way. The dependency relations are determined by the #include
1595 # relations between the files in the directories.
1705 # relations between the files in the directories.
1596
1706
1597 DIRECTORY_GRAPH = YES
1707 DIRECTORY_GRAPH = YES
1598
1708
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1709 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 # generated by dot. Possible values are png, jpg, or gif
1710 # generated by dot. Possible values are svg, png, jpg, or gif.
1601 # If left blank png will be used.
1711 # If left blank png will be used. If you choose svg you need to set
1712 # HTML_FILE_EXTENSION to xhtml in order to make the SVG files
1713 # visible in IE 9+ (other browsers do not have this requirement).
1602
1714
1603 DOT_IMAGE_FORMAT = png
1715 DOT_IMAGE_FORMAT = png
1604
1716
1717 # If DOT_IMAGE_FORMAT is set to svg, then this option can be set to YES to
1718 # enable generation of interactive SVG images that allow zooming and panning.
1719 # Note that this requires a modern browser other than Internet Explorer.
1720 # Tested and working are Firefox, Chrome, Safari, and Opera. For IE 9+ you
1721 # need to set HTML_FILE_EXTENSION to xhtml in order to make the SVG files
1722 # visible. Older versions of IE do not have SVG support.
1723
1724 INTERACTIVE_SVG = NO
1725
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1726 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1727 # found. If left blank, it is assumed the dot tool can be found in the path.
1607
1728
1608 DOT_PATH =
1729 DOT_PATH =
1609
1730
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1731 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 # contain dot files that are included in the documentation (see the
1732 # contain dot files that are included in the documentation (see the
1612 # \dotfile command).
1733 # \dotfile command).
1613
1734
1614 DOTFILE_DIRS =
1735 DOTFILE_DIRS =
1615
1736
1737 # The MSCFILE_DIRS tag can be used to specify one or more directories that
1738 # contain msc files that are included in the documentation (see the
1739 # \mscfile command).
1740
1741 MSCFILE_DIRS =
1742
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1743 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1744 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1745 # becomes larger than this value, doxygen will truncate the graph, which is
1619 # visualized by representing a node as a red box. Note that doxygen if the
1746 # visualized by representing a node as a red box. Note that doxygen if the
1620 # number of direct children of the root node in a graph is already larger than
1747 # number of direct children of the root node in a graph is already larger than
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1748 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1749 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623
1750
1624 DOT_GRAPH_MAX_NODES = 50
1751 DOT_GRAPH_MAX_NODES = 50
1625
1752
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1753 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1754 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1755 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 # that lay further from the root node will be omitted. Note that setting this
1756 # that lay further from the root node will be omitted. Note that setting this
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1757 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 # code bases. Also note that the size of a graph can be further restricted by
1758 # code bases. Also note that the size of a graph can be further restricted by
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1759 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633
1760
1634 MAX_DOT_GRAPH_DEPTH = 0
1761 MAX_DOT_GRAPH_DEPTH = 0
1635
1762
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1763 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 # background. This is disabled by default, because dot on Windows does not
1764 # background. This is disabled by default, because dot on Windows does not
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1765 # seem to support this out of the box. Warning: Depending on the platform used,
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1766 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 # a graph (i.e. they become hard to read).
1767 # a graph (i.e. they become hard to read).
1641
1768
1642 DOT_TRANSPARENT = NO
1769 DOT_TRANSPARENT = NO
1643
1770
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1771 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1772 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1773 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 # support this, this feature is disabled by default.
1774 # support this, this feature is disabled by default.
1648
1775
1649 DOT_MULTI_TARGETS = NO
1776 DOT_MULTI_TARGETS = NO
1650
1777
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1778 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 # generate a legend page explaining the meaning of the various boxes and
1779 # generate a legend page explaining the meaning of the various boxes and
1653 # arrows in the dot generated graphs.
1780 # arrows in the dot generated graphs.
1654
1781
1655 GENERATE_LEGEND = YES
1782 GENERATE_LEGEND = YES
1656
1783
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1784 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 # remove the intermediate dot files that are used to generate
1785 # remove the intermediate dot files that are used to generate
1659 # the various graphs.
1786 # the various graphs.
1660
1787
1661 DOT_CLEANUP = YES
1788 DOT_CLEANUP = YES
@@ -1,275 +1,278
1 ------------------------------------------------------------------------------
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
4 --
5 -- This program is free software; you can redistribute it and/or modify
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
8 -- (at your option) any later version.
9 --
9 --
10 -- This program is distributed in the hope that it will be useful,
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
13 -- GNU General Public License for more details.
14 --
14 --
15 -- You should have received a copy of the GNU General Public License
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 ------------------------------------------------------------------------------
18 ------------------------------------------------------------------------------
19 -- Author : Martin Morlot
19 -- Author : Martin Morlot
20 -- Mail : martin.morlot@lpp.polytechnique.fr
20 -- Mail : martin.morlot@lpp.polytechnique.fr
21 ------------------------------------------------------------------------------
21 ------------------------------------------------------------------------------
22 library ieee;
22 library ieee;
23 use ieee.std_logic_1164.all;
23 use ieee.std_logic_1164.all;
24 library grlib;
24 library grlib;
25 use grlib.amba.all;
25 use grlib.amba.all;
26 use std.textio.all;
26 use std.textio.all;
27 library lpp;
27 library lpp;
28 use lpp.lpp_amba.all;
28 use lpp.lpp_amba.all;
29 library gaisler;
30 use gaisler.misc.all;
31 use gaisler.memctrl.all;
29
32
30 --! Package contenant tous les programmes qui forment le composant intοΏ½grοΏ½ dans le lοΏ½on
33 --! Package contenant tous les programmes qui forment le composant intοΏ½grοΏ½ dans le lοΏ½on
31
34
32 package lpp_memory is
35 package lpp_memory is
33
36
34 --===========================================================|
37 --===========================================================|
35 --=================== FIFO ComplοΏ½te =========================|
38 --=================== FIFO ComplοΏ½te =========================|
36 --===========================================================|
39 --===========================================================|
37
40
38 component APB_FIFO is
41 component APB_FIFO is
39 generic (
42 generic (
40 pindex : integer := 0;
43 pindex : integer := 0;
41 paddr : integer := 0;
44 paddr : integer := 0;
42 pmask : integer := 16#fff#;
45 pmask : integer := 16#fff#;
43 pirq : integer := 0;
46 pirq : integer := 0;
44 abits : integer := 8;
47 abits : integer := 8;
45 Data_sz : integer := 16;
48 Data_sz : integer := 16;
46 Addr_sz : integer := 8;
49 Addr_sz : integer := 8;
47 addr_max_int : integer := 256);
50 addr_max_int : integer := 256);
48 port (
51 port (
49 clk : in std_logic;
52 clk : in std_logic;
50 rst : in std_logic;
53 rst : in std_logic;
51 apbi : in apb_slv_in_type;
54 apbi : in apb_slv_in_type;
52 Full : out std_logic;
55 Full : out std_logic;
53 Empty : out std_logic;
56 Empty : out std_logic;
54 WR : out std_logic;
57 WR : out std_logic;
55 RE : out std_logic;
58 RE : out std_logic;
56 apbo : out apb_slv_out_type
59 apbo : out apb_slv_out_type
57 );
60 );
58 end component;
61 end component;
59
62
60
63
61 component ApbDriver is
64 component ApbDriver is
62 generic (
65 generic (
63 pindex : integer := 0;
66 pindex : integer := 0;
64 paddr : integer := 0;
67 paddr : integer := 0;
65 pmask : integer := 16#fff#;
68 pmask : integer := 16#fff#;
66 pirq : integer := 0;
69 pirq : integer := 0;
67 abits : integer := 8;
70 abits : integer := 8;
68 LPP_DEVICE : integer;
71 LPP_DEVICE : integer;
69 Data_sz : integer := 16;
72 Data_sz : integer := 16;
70 Addr_sz : integer := 8;
73 Addr_sz : integer := 8;
71 addr_max_int : integer := 256);
74 addr_max_int : integer := 256);
72 port (
75 port (
73 clk : in std_logic;
76 clk : in std_logic;
74 rst : in std_logic;
77 rst : in std_logic;
75 ReadEnable : out std_logic;
78 ReadEnable : out std_logic;
76 WriteEnable : out std_logic;
79 WriteEnable : out std_logic;
77 FlagEmpty : in std_logic;
80 FlagEmpty : in std_logic;
78 FlagFull : in std_logic;
81 FlagFull : in std_logic;
79 ReUse : out std_logic;
82 ReUse : out std_logic;
80 Lock : out std_logic;
83 Lock : out std_logic;
81 DataIn : out std_logic_vector(Data_sz-1 downto 0);
84 DataIn : out std_logic_vector(Data_sz-1 downto 0);
82 DataOut : in std_logic_vector(Data_sz-1 downto 0);
85 DataOut : in std_logic_vector(Data_sz-1 downto 0);
83 AddrIn : in std_logic_vector(Addr_sz-1 downto 0);
86 AddrIn : in std_logic_vector(Addr_sz-1 downto 0);
84 AddrOut : in std_logic_vector(Addr_sz-1 downto 0);
87 AddrOut : in std_logic_vector(Addr_sz-1 downto 0);
85 apbi : in apb_slv_in_type;
88 apbi : in apb_slv_in_type;
86 apbo : out apb_slv_out_type
89 apbo : out apb_slv_out_type
87 );
90 );
88 end component;
91 end component;
89
92
90
93
91 component Top_FIFO is
94 component Top_FIFO is
92 generic(
95 generic(
93 Data_sz : integer := 16;
96 Data_sz : integer := 16;
94 Addr_sz : integer := 8;
97 Addr_sz : integer := 8;
95 addr_max_int : integer := 256
98 addr_max_int : integer := 256
96 );
99 );
97 port(
100 port(
98 clk,raz : in std_logic;
101 clk,raz : in std_logic;
99 flag_RE : in std_logic;
102 flag_RE : in std_logic;
100 flag_WR : in std_logic;
103 flag_WR : in std_logic;
101 ReUse : in std_logic;
104 ReUse : in std_logic;
102 Lock : in std_logic;
105 Lock : in std_logic;
103 Data_in : in std_logic_vector(Data_sz-1 downto 0);
106 Data_in : in std_logic_vector(Data_sz-1 downto 0);
104 Addr_RE : out std_logic_vector(addr_sz-1 downto 0);
107 Addr_RE : out std_logic_vector(addr_sz-1 downto 0);
105 Addr_WR : out std_logic_vector(addr_sz-1 downto 0);
108 Addr_WR : out std_logic_vector(addr_sz-1 downto 0);
106 full : out std_logic;
109 full : out std_logic;
107 empty : out std_logic;
110 empty : out std_logic;
108 Data_out : out std_logic_vector(Data_sz-1 downto 0)
111 Data_out : out std_logic_vector(Data_sz-1 downto 0)
109 );
112 );
110 end component;
113 end component;
111
114
112
115
113 component Fifo_Read is
116 component Fifo_Read is
114 generic(
117 generic(
115 Addr_sz : integer := 8;
118 Addr_sz : integer := 8;
116 addr_max_int : integer := 256);
119 addr_max_int : integer := 256);
117 port(
120 port(
118 clk : in std_logic;
121 clk : in std_logic;
119 raz : in std_logic;
122 raz : in std_logic;
120 flag_RE : in std_logic;
123 flag_RE : in std_logic;
121 ReUse : in std_logic;
124 ReUse : in std_logic;
122 Waddr : in std_logic_vector(addr_sz-1 downto 0);
125 Waddr : in std_logic_vector(addr_sz-1 downto 0);
123 empty : out std_logic;
126 empty : out std_logic;
124 Raddr : out std_logic_vector(addr_sz-1 downto 0)
127 Raddr : out std_logic_vector(addr_sz-1 downto 0)
125 );
128 );
126 end component;
129 end component;
127
130
128
131
129 component Fifo_Write is
132 component Fifo_Write is
130 generic(
133 generic(
131 Addr_sz : integer := 8;
134 Addr_sz : integer := 8;
132 addr_max_int : integer := 256);
135 addr_max_int : integer := 256);
133 port(
136 port(
134 clk : in std_logic;
137 clk : in std_logic;
135 raz : in std_logic;
138 raz : in std_logic;
136 flag_WR : in std_logic;
139 flag_WR : in std_logic;
137 Raddr : in std_logic_vector(addr_sz-1 downto 0);
140 Raddr : in std_logic_vector(addr_sz-1 downto 0);
138 full : out std_logic;
141 full : out std_logic;
139 Waddr : out std_logic_vector(addr_sz-1 downto 0)
142 Waddr : out std_logic_vector(addr_sz-1 downto 0)
140 );
143 );
141 end component;
144 end component;
142
145
143
146
144 component Link_Reg is
147 component Link_Reg is
145 generic(Data_sz : integer := 16);
148 generic(Data_sz : integer := 16);
146 port(
149 port(
147 clk,raz : in std_logic;
150 clk,raz : in std_logic;
148 Data_one : in std_logic_vector(Data_sz-1 downto 0);
151 Data_one : in std_logic_vector(Data_sz-1 downto 0);
149 Data_two : in std_logic_vector(Data_sz-1 downto 0);
152 Data_two : in std_logic_vector(Data_sz-1 downto 0);
150 ReUse : in std_logic;
153 ReUse : in std_logic;
151 flag_RE : in std_logic;
154 flag_RE : in std_logic;
152 flag_WR : in std_logic;
155 flag_WR : in std_logic;
153 empty : in std_logic;
156 empty : in std_logic;
154 Data_out : out std_logic_vector(Data_sz-1 downto 0)
157 Data_out : out std_logic_vector(Data_sz-1 downto 0)
155 );
158 );
156 end component;
159 end component;
157
160
158 --===========================================================|
161 --===========================================================|
159 --================= Demi FIFO Ecriture ======================|
162 --================= Demi FIFO Ecriture ======================|
160 --===========================================================|
163 --===========================================================|
161
164
162 component APB_FifoWrite is
165 component APB_FifoWrite is
163 generic (
166 generic (
164 pindex : integer := 0;
167 pindex : integer := 0;
165 paddr : integer := 0;
168 paddr : integer := 0;
166 pmask : integer := 16#fff#;
169 pmask : integer := 16#fff#;
167 pirq : integer := 0;
170 pirq : integer := 0;
168 abits : integer := 8;
171 abits : integer := 8;
169 Data_sz : integer := 16;
172 Data_sz : integer := 16;
170 Addr_sz : integer := 8;
173 Addr_sz : integer := 8;
171 addr_max_int : integer := 256);
174 addr_max_int : integer := 256);
172 port (
175 port (
173 clk : in std_logic;
176 clk : in std_logic;
174 rst : in std_logic;
177 rst : in std_logic;
175 apbi : in apb_slv_in_type;
178 apbi : in apb_slv_in_type;
176 ReadEnable : in std_logic;
179 ReadEnable : in std_logic;
177 Empty : out std_logic;
180 Empty : out std_logic;
178 Full : out std_logic;
181 Full : out std_logic;
179 DATA : out std_logic_vector(Data_sz-1 downto 0);
182 DATA : out std_logic_vector(Data_sz-1 downto 0);
180 apbo : out apb_slv_out_type
183 apbo : out apb_slv_out_type
181 );
184 );
182 end component;
185 end component;
183
186
184
187
185 --component Top_FifoWrite is
188 --component Top_FifoWrite is
186 -- generic(
189 -- generic(
187 -- Data_sz : integer := 16;
190 -- Data_sz : integer := 16;
188 -- Addr_sz : integer := 8;
191 -- Addr_sz : integer := 8;
189 -- addr_max_int : integer := 256);
192 -- addr_max_int : integer := 256);
190 -- port(
193 -- port(
191 -- clk : in std_logic;
194 -- clk : in std_logic;
192 -- raz : in std_logic;
195 -- raz : in std_logic;
193 -- flag_RE : in std_logic;
196 -- flag_RE : in std_logic;
194 -- flag_WR : in std_logic;
197 -- flag_WR : in std_logic;
195 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
198 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
196 -- Raddr : in std_logic_vector(addr_sz-1 downto 0);
199 -- Raddr : in std_logic_vector(addr_sz-1 downto 0);
197 -- full : out std_logic;
200 -- full : out std_logic;
198 -- empty : out std_logic;
201 -- empty : out std_logic;
199 -- Waddr : out std_logic_vector(addr_sz-1 downto 0);
202 -- Waddr : out std_logic_vector(addr_sz-1 downto 0);
200 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
203 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
201 -- );
204 -- );
202 --end component;
205 --end component;
203
206
204 --===========================================================|
207 --===========================================================|
205 --================== Demi FIFO Lecture ======================|
208 --================== Demi FIFO Lecture ======================|
206 --===========================================================|
209 --===========================================================|
207
210
208 component APB_FifoRead is
211 component APB_FifoRead is
209 generic (
212 generic (
210 pindex : integer := 0;
213 pindex : integer := 0;
211 paddr : integer := 0;
214 paddr : integer := 0;
212 pmask : integer := 16#fff#;
215 pmask : integer := 16#fff#;
213 pirq : integer := 0;
216 pirq : integer := 0;
214 abits : integer := 8;
217 abits : integer := 8;
215 Data_sz : integer := 16;
218 Data_sz : integer := 16;
216 Addr_sz : integer := 8;
219 Addr_sz : integer := 8;
217 addr_max_int : integer := 256);
220 addr_max_int : integer := 256);
218 port (
221 port (
219 clk : in std_logic;
222 clk : in std_logic;
220 rst : in std_logic;
223 rst : in std_logic;
221 apbi : in apb_slv_in_type;
224 apbi : in apb_slv_in_type;
222 WriteEnable : in std_logic;
225 WriteEnable : in std_logic;
223 Full : out std_logic;
226 Full : out std_logic;
224 Empty : out std_logic;
227 Empty : out std_logic;
225 DATA : in std_logic_vector(Data_sz-1 downto 0);
228 DATA : in std_logic_vector(Data_sz-1 downto 0);
226 apbo : out apb_slv_out_type
229 apbo : out apb_slv_out_type
227 );
230 );
228 end component;
231 end component;
229
232
230
233
231 --component Top_FifoRead is
234 --component Top_FifoRead is
232 -- generic(
235 -- generic(
233 -- Data_sz : integer := 16;
236 -- Data_sz : integer := 16;
234 -- Addr_sz : integer := 8;
237 -- Addr_sz : integer := 8;
235 -- addr_max_int : integer := 256);
238 -- addr_max_int : integer := 256);
236 -- port(
239 -- port(
237 -- clk : in std_logic;
240 -- clk : in std_logic;
238 -- raz : in std_logic;
241 -- raz : in std_logic;
239 -- flag_RE : in std_logic;
242 -- flag_RE : in std_logic;
240 -- flag_WR : in std_logic;
243 -- flag_WR : in std_logic;
241 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
244 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
242 -- Waddr : in std_logic_vector(addr_sz-1 downto 0);
245 -- Waddr : in std_logic_vector(addr_sz-1 downto 0);
243 -- full : out std_logic;
246 -- full : out std_logic;
244 -- empty : out std_logic;
247 -- empty : out std_logic;
245 -- Raddr : out std_logic_vector(addr_sz-1 downto 0);
248 -- Raddr : out std_logic_vector(addr_sz-1 downto 0);
246 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
249 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
247 -- );
250 -- );
248 --end component;
251 --end component;
249
252
250 component ssram_plugin is
253 component ssram_plugin is
251 generic (tech : integer := 0);
254 generic (tech : integer := 0);
252 port
255 port
253 (
256 (
254 clk : in std_logic;
257 clk : in std_logic;
255 mem_ctrlr_o : in memory_out_type;
258 mem_ctrlr_o : in memory_out_type;
256 SSRAM_CLK : out std_logic;
259 SSRAM_CLK : out std_logic;
257 nBWa : out std_logic;
260 nBWa : out std_logic;
258 nBWb : out std_logic;
261 nBWb : out std_logic;
259 nBWc : out std_logic;
262 nBWc : out std_logic;
260 nBWd : out std_logic;
263 nBWd : out std_logic;
261 nBWE : out std_logic;
264 nBWE : out std_logic;
262 nADSC : out std_logic;
265 nADSC : out std_logic;
263 nADSP : out std_logic;
266 nADSP : out std_logic;
264 nADV : out std_logic;
267 nADV : out std_logic;
265 nGW : out std_logic;
268 nGW : out std_logic;
266 nCE1 : out std_logic;
269 nCE1 : out std_logic;
267 CE2 : out std_logic;
270 CE2 : out std_logic;
268 nCE3 : out std_logic;
271 nCE3 : out std_logic;
269 nOE : out std_logic;
272 nOE : out std_logic;
270 MODE : out std_logic;
273 MODE : out std_logic;
271 ZZ : out std_logic
274 ZZ : out std_logic
272 );
275 );
273 end component;
276 end component;
274
277
275 end;
278 end;
General Comments 0
You need to be logged in to leave comments. Login now