##// END OF EJS Templates
Fixed bug, now minor and major frame pulses have the good width....
Fixed bug, now minor and major frame pulses have the good width. (one sck period and not one word clock period)

File last commit:

r217:13429b36c676 alexis
r222:b37e19fe4c0b alexis
Show More
vhdlsyn.txt
8 lines | 153 B | text/plain | TextLexer
Added ICI4 designs....
r129 fifo_latency_correction.vhd
martin
Commit avant changement de disk
r146 lpp_dma.vhd
Added ICI4 designs....
r129 lpp_dma_apbreg.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 lpp_dma_fsm.vhd
Added ICI4 designs....
r129 lpp_dma_ip.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 lpp_dma_pkg.vhd
Added ICI4 designs....
r129 lpp_dma_send_16word.vhd
pellion@stage-ps1.lab-lpp.local
LPP DMA v1.0.0
r101 lpp_dma_send_1word.vhd