##// END OF EJS Templates
Fixed bug, now minor and major frame pulses have the good width....
Fixed bug, now minor and major frame pulses have the good width. (one sck period and not one word clock period)

File last commit:

r217:13429b36c676 alexis
r222:b37e19fe4c0b alexis
Show More
vhdlsyn.txt
8 lines | 153 B | text/plain | TextLexer
fifo_latency_correction.vhd
lpp_dma.vhd
lpp_dma_apbreg.vhd
lpp_dma_fsm.vhd
lpp_dma_ip.vhd
lpp_dma_pkg.vhd
lpp_dma_send_16word.vhd
lpp_dma_send_1word.vhd