##// END OF EJS Templates
Started preliminary version of BeagleSynth board.
Started preliminary version of BeagleSynth board.

File last commit:

r229:8ff242376ddf JC
r255:0c243809f9f2 alexis
Show More
vhdlsyn.txt
11 lines | 179 B | text/plain | TextLexer
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 APB_FIFO.vhd
APB_FIFO.vhd.bak
Alexis Jeandet
Cleaned EGSE_ICI design.
r218 FIFO_pipeline.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 FillFifo.vhd
Restored previous ALU version as ALU_V0 for IIR filter first version...
r226 lpp_FIFO.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 lppFIFOxN.vhd
lppFIFOxN.vhd.bak
lpp_memory.vhd
lpp_memory.vhd.bak
Restored previous ALU version as ALU_V0 for IIR filter first version...
r226 SSRAM_plugin.vhd
SSRAM_plugin_vsim.vhd