##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r416:92057c9e9a3b JC
r681:9d85f9f8f05a default
Show More
vhdlsyn.txt
9 lines | 167 B | text/plain | TextLexer
lpp_memory.vhd
lpp_FIFO.vhd
lpp_FIFO_4_Shared.vhd
lpp_FIFO_control.vhd
lpp_FIFO_4_Shared_headreg_latency_0.vhd
lpp_FIFO_4_Shared_headreg_latency_1.vhd
lppFIFOxN.vhd