##// END OF EJS Templates
/!\ Unstable LFR-142200-DM-LEON3-BASE design /!\
jeandet@PC-DE-JEANDET.lpp.polytechnique.fr -
r85:439b6d5bebcc alexis
parent child
Show More
@@ -0,0 +1,44
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19
20 include ../../rules.mk
21 LIBDIR=
22 INCPATH = ../../includes
23 SCRIPTDIR=../../scripts/
24 LIBS=
25 INPUTFILE=main.c
26 EXEC=hello.bin
27 OUTBINDIR=bin/
28
29
30 .PHONY:bin
31
32 all:bin
33 @echo $(EXEC)" file created"
34
35 clean:
36 rm -f *.{o,a}
37
38
39
40 help:ruleshelp
41 @echo " all : makes an executable file called "$(EXEC)
42 @echo " in "$(OUTBINDIR)
43 @echo " clean : removes temporary files"
44
@@ -0,0 +1,26
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #include "stdio.h"
20
21
22 int main()
23 {
24 printf("hello World\n");
25 return 0;
26 }
@@ -1,1661 +1,1661
1 1 # Doxyfile 1.7.1
2 2
3 3 # This file describes the settings to be used by the documentation system
4 4 # doxygen (www.doxygen.org) for a project
5 5 #
6 6 # All text after a hash (#) is considered a comment and will be ignored
7 7 # The format is:
8 8 # TAG = value [value, ...]
9 9 # For lists items can also be appended using:
10 10 # TAG += value [value, ...]
11 11 # Values that contain spaces should be placed between quotes (" ")
12 12
13 13 #---------------------------------------------------------------------------
14 14 # Project related configuration options
15 15 #---------------------------------------------------------------------------
16 16
17 17 # This tag specifies the encoding used for all characters in the config file
18 18 # that follow. The default is UTF-8 which is also the encoding used for all
19 19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 20 # iconv built into libc) for the transcoding. See
21 21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22 22
23 23 DOXYFILE_ENCODING = UTF-8
24 24
25 25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 26 # by quotes) that should identify the project.
27 27
28 PROJECT_NAME = "VHDL lib Drivers"
28 PROJECT_NAME = "apb lcd driver"
29 29
30 30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 31 # This could be handy for archiving the generated documentation or
32 32 # if some version control system is used.
33 33
34 PROJECT_NUMBER = 0.4
34 PROJECT_NUMBER = 0.1
35 35
36 36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 37 # base path where the generated documentation will be put.
38 38 # If a relative path is entered, it will be relative to the location
39 39 # where doxygen was started. If left blank the current directory will be used.
40 40
41 41 OUTPUT_DIRECTORY = ./Doc
42 42
43 43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 45 # format and will distribute the generated files over these directories.
46 46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 47 # source files, where putting all generated files in the same directory would
48 48 # otherwise cause performance problems for the file system.
49 49
50 50 CREATE_SUBDIRS = NO
51 51
52 52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 53 # documentation generated by doxygen is written. Doxygen will use this
54 54 # information to generate all constant output in the proper language.
55 55 # The default language is English, other supported languages are:
56 56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62 62
63 63 OUTPUT_LANGUAGE = English
64 64
65 65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 66 # include brief member descriptions after the members that are listed in
67 67 # the file and class documentation (similar to JavaDoc).
68 68 # Set to NO to disable this.
69 69
70 70 BRIEF_MEMBER_DESC = YES
71 71
72 72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 73 # the brief description of a member or function before the detailed description.
74 74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 75 # brief descriptions will be completely suppressed.
76 76
77 77 REPEAT_BRIEF = YES
78 78
79 79 # This tag implements a quasi-intelligent brief description abbreviator
80 80 # that is used to form the text in various listings. Each string
81 81 # in this list, if found as the leading text of the brief description, will be
82 82 # stripped from the text and the result after processing the whole list, is
83 83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 84 # If left blank, the following values are used ("$name" is automatically
85 85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 86 # "The $name file" "is" "provides" "specifies" "contains"
87 87 # "represents" "a" "an" "the"
88 88
89 89 ABBREVIATE_BRIEF = "The $name class" \
90 90 "The $name widget" \
91 91 "The $name file" \
92 92 is \
93 93 provides \
94 94 specifies \
95 95 contains \
96 96 represents \
97 97 a \
98 98 an \
99 99 the
100 100
101 101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 102 # Doxygen will generate a detailed section even if there is only a brief
103 103 # description.
104 104
105 105 ALWAYS_DETAILED_SEC = NO
106 106
107 107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 108 # inherited members of a class in the documentation of that class as if those
109 109 # members were ordinary class members. Constructors, destructors and assignment
110 110 # operators of the base classes will not be shown.
111 111
112 112 INLINE_INHERITED_MEMB = NO
113 113
114 114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 115 # path before files name in the file list and in the header files. If set
116 116 # to NO the shortest path that makes the file name unique will be used.
117 117
118 118 FULL_PATH_NAMES = YES
119 119
120 120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 121 # can be used to strip a user-defined part of the path. Stripping is
122 122 # only done if one of the specified strings matches the left-hand part of
123 123 # the path. The tag can be used to show relative paths in the file list.
124 124 # If left blank the directory from which doxygen is run is used as the
125 125 # path to strip.
126 126
127 127 STRIP_FROM_PATH =
128 128
129 129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 130 # the path mentioned in the documentation of a class, which tells
131 131 # the reader which header file to include in order to use a class.
132 132 # If left blank only the name of the header file containing the class
133 133 # definition is used. Otherwise one should specify the include paths that
134 134 # are normally passed to the compiler using the -I flag.
135 135
136 136 STRIP_FROM_INC_PATH =
137 137
138 138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 139 # (but less readable) file names. This can be useful is your file systems
140 140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141 141
142 142 SHORT_NAMES = YES
143 143
144 144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 146 # comment as the brief description. If set to NO, the JavaDoc
147 147 # comments will behave just like regular Qt-style comments
148 148 # (thus requiring an explicit @brief command for a brief description.)
149 149
150 150 JAVADOC_AUTOBRIEF = NO
151 151
152 152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 153 # interpret the first line (until the first dot) of a Qt-style
154 154 # comment as the brief description. If set to NO, the comments
155 155 # will behave just like regular Qt-style comments (thus requiring
156 156 # an explicit \brief command for a brief description.)
157 157
158 158 QT_AUTOBRIEF = NO
159 159
160 160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 162 # comments) as a brief description. This used to be the default behaviour.
163 163 # The new default is to treat a multi-line C++ comment block as a detailed
164 164 # description. Set this tag to YES if you prefer the old behaviour instead.
165 165
166 166 MULTILINE_CPP_IS_BRIEF = NO
167 167
168 168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 169 # member inherits the documentation from any documented member that it
170 170 # re-implements.
171 171
172 172 INHERIT_DOCS = YES
173 173
174 174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 175 # a new page for each member. If set to NO, the documentation of a member will
176 176 # be part of the file/class/namespace that contains it.
177 177
178 178 SEPARATE_MEMBER_PAGES = NO
179 179
180 180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182 182
183 183 TAB_SIZE = 8
184 184
185 185 # This tag can be used to specify a number of aliases that acts
186 186 # as commands in the documentation. An alias has the form "name=value".
187 187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 189 # will result in a user-defined paragraph with heading "Side Effects:".
190 190 # You can put \n's in the value part of an alias to insert newlines.
191 191
192 192 ALIASES =
193 193
194 194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 195 # sources only. Doxygen will then generate output that is more tailored for C.
196 196 # For instance, some of the names that are used will be different. The list
197 197 # of all members will be omitted, etc.
198 198
199 199 OPTIMIZE_OUTPUT_FOR_C = YES
200 200
201 201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 202 # sources only. Doxygen will then generate output that is more tailored for
203 203 # Java. For instance, namespaces will be presented as packages, qualified
204 204 # scopes will look different, etc.
205 205
206 206 OPTIMIZE_OUTPUT_JAVA = NO
207 207
208 208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 209 # sources only. Doxygen will then generate output that is more tailored for
210 210 # Fortran.
211 211
212 212 OPTIMIZE_FOR_FORTRAN = NO
213 213
214 214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 215 # sources. Doxygen will then generate output that is tailored for
216 216 # VHDL.
217 217
218 218 OPTIMIZE_OUTPUT_VHDL = NO
219 219
220 220 # Doxygen selects the parser to use depending on the extension of the files it
221 221 # parses. With this tag you can assign which parser to use for a given extension.
222 222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 223 # tag. The format is ext=language, where ext is a file extension, and language
224 224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229 229
230 230 EXTENSION_MAPPING =
231 231
232 232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 233 # to include (a tag file for) the STL sources as input, then you should
234 234 # set this tag to YES in order to let doxygen match functions declarations and
235 235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 236 # func(std::string) {}). This also make the inheritance and collaboration
237 237 # diagrams that involve STL classes more complete and accurate.
238 238
239 239 BUILTIN_STL_SUPPORT = NO
240 240
241 241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 242 # enable parsing support.
243 243
244 244 CPP_CLI_SUPPORT = NO
245 245
246 246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 248 # instead of private inheritance when no explicit protection keyword is present.
249 249
250 250 SIP_SUPPORT = NO
251 251
252 252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 253 # and setter methods for a property. Setting this option to YES (the default)
254 254 # will make doxygen to replace the get and set methods by a property in the
255 255 # documentation. This will only work if the methods are indeed getting or
256 256 # setting a simple type. If this is not the case, or you want to show the
257 257 # methods anyway, you should set this option to NO.
258 258
259 259 IDL_PROPERTY_SUPPORT = YES
260 260
261 261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 263 # member in the group (if any) for the other members of the group. By default
264 264 # all members of a group must be documented explicitly.
265 265
266 266 DISTRIBUTE_GROUP_DOC = NO
267 267
268 268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 269 # the same type (for instance a group of public functions) to be put as a
270 270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 272 # the \nosubgrouping command.
273 273
274 274 SUBGROUPING = YES
275 275
276 276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 277 # is documented as struct, union, or enum with the name of the typedef. So
278 278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 280 # namespace, or class. And the struct will be named TypeS. This can typically
281 281 # be useful for C code in case the coding convention dictates that all compound
282 282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283 283
284 284 TYPEDEF_HIDES_STRUCT = NO
285 285
286 286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 287 # determine which symbols to keep in memory and which to flush to disk.
288 288 # When the cache is full, less often used symbols will be written to disk.
289 289 # For small to medium size projects (<1000 input files) the default value is
290 290 # probably good enough. For larger projects a too small cache size can cause
291 291 # doxygen to be busy swapping symbols to and from disk most of the time
292 292 # causing a significant performance penality.
293 293 # If the system has enough physical memory increasing the cache will improve the
294 294 # performance by keeping more symbols in memory. Note that the value works on
295 295 # a logarithmic scale so increasing the size by one will rougly double the
296 296 # memory usage. The cache size is given by this formula:
297 297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 298 # corresponding to a cache size of 2^16 = 65536 symbols
299 299
300 300 SYMBOL_CACHE_SIZE = 0
301 301
302 302 #---------------------------------------------------------------------------
303 303 # Build related configuration options
304 304 #---------------------------------------------------------------------------
305 305
306 306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 307 # documentation are documented, even if no documentation was available.
308 308 # Private class members and static file members will be hidden unless
309 309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310 310
311 311 EXTRACT_ALL = YES
312 312
313 313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 314 # will be included in the documentation.
315 315
316 316 EXTRACT_PRIVATE = NO
317 317
318 318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 319 # will be included in the documentation.
320 320
321 321 EXTRACT_STATIC = NO
322 322
323 323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 324 # defined locally in source files will be included in the documentation.
325 325 # If set to NO only classes defined in header files are included.
326 326
327 327 EXTRACT_LOCAL_CLASSES = YES
328 328
329 329 # This flag is only useful for Objective-C code. When set to YES local
330 330 # methods, which are defined in the implementation section but not in
331 331 # the interface are included in the documentation.
332 332 # If set to NO (the default) only methods in the interface are included.
333 333
334 334 EXTRACT_LOCAL_METHODS = YES
335 335
336 336 # If this flag is set to YES, the members of anonymous namespaces will be
337 337 # extracted and appear in the documentation as a namespace called
338 338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 339 # name of the file that contains the anonymous namespace. By default
340 340 # anonymous namespace are hidden.
341 341
342 EXTRACT_ANON_NSPACES = YES
342 EXTRACT_ANON_NSPACES = NO
343 343
344 344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 345 # undocumented members of documented classes, files or namespaces.
346 346 # If set to NO (the default) these members will be included in the
347 347 # various overviews, but no documentation section is generated.
348 348 # This option has no effect if EXTRACT_ALL is enabled.
349 349
350 350 HIDE_UNDOC_MEMBERS = NO
351 351
352 352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 353 # undocumented classes that are normally visible in the class hierarchy.
354 354 # If set to NO (the default) these classes will be included in the various
355 355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356 356
357 357 HIDE_UNDOC_CLASSES = NO
358 358
359 359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 360 # friend (class|struct|union) declarations.
361 361 # If set to NO (the default) these declarations will be included in the
362 362 # documentation.
363 363
364 364 HIDE_FRIEND_COMPOUNDS = NO
365 365
366 366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 367 # documentation blocks found inside the body of a function.
368 368 # If set to NO (the default) these blocks will be appended to the
369 369 # function's detailed documentation block.
370 370
371 371 HIDE_IN_BODY_DOCS = NO
372 372
373 373 # The INTERNAL_DOCS tag determines if documentation
374 374 # that is typed after a \internal command is included. If the tag is set
375 375 # to NO (the default) then the documentation will be excluded.
376 376 # Set it to YES to include the internal documentation.
377 377
378 378 INTERNAL_DOCS = NO
379 379
380 380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 381 # file names in lower-case letters. If set to YES upper-case letters are also
382 382 # allowed. This is useful if you have classes or files whose names only differ
383 383 # in case and if your file system supports case sensitive file names. Windows
384 384 # and Mac users are advised to set this option to NO.
385 385
386 386 CASE_SENSE_NAMES = NO
387 387
388 388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 389 # will show members with their full class and namespace scopes in the
390 390 # documentation. If set to YES the scope will be hidden.
391 391
392 392 HIDE_SCOPE_NAMES = YES
393 393
394 394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 395 # will put a list of the files that are included by a file in the documentation
396 396 # of that file.
397 397
398 398 SHOW_INCLUDE_FILES = YES
399 399
400 400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 401 # will list include files with double quotes in the documentation
402 402 # rather than with sharp brackets.
403 403
404 404 FORCE_LOCAL_INCLUDES = NO
405 405
406 406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 407 # is inserted in the documentation for inline members.
408 408
409 409 INLINE_INFO = YES
410 410
411 411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 412 # will sort the (detailed) documentation of file and class members
413 413 # alphabetically by member name. If set to NO the members will appear in
414 414 # declaration order.
415 415
416 416 SORT_MEMBER_DOCS = YES
417 417
418 418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 419 # brief documentation of file, namespace and class members alphabetically
420 420 # by member name. If set to NO (the default) the members will appear in
421 421 # declaration order.
422 422
423 423 SORT_BRIEF_DOCS = NO
424 424
425 425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 426 # will sort the (brief and detailed) documentation of class members so that
427 427 # constructors and destructors are listed first. If set to NO (the default)
428 428 # the constructors will appear in the respective orders defined by
429 429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432 432
433 433 SORT_MEMBERS_CTORS_1ST = NO
434 434
435 435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 437 # the group names will appear in their defined order.
438 438
439 439 SORT_GROUP_NAMES = NO
440 440
441 441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 442 # sorted by fully-qualified names, including namespaces. If set to
443 443 # NO (the default), the class list will be sorted only by class name,
444 444 # not including the namespace part.
445 445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 446 # Note: This option applies only to the class list, not to the
447 447 # alphabetical list.
448 448
449 449 SORT_BY_SCOPE_NAME = NO
450 450
451 451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 452 # disable (NO) the todo list. This list is created by putting \todo
453 453 # commands in the documentation.
454 454
455 455 GENERATE_TODOLIST = YES
456 456
457 457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 458 # disable (NO) the test list. This list is created by putting \test
459 459 # commands in the documentation.
460 460
461 461 GENERATE_TESTLIST = YES
462 462
463 463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 464 # disable (NO) the bug list. This list is created by putting \bug
465 465 # commands in the documentation.
466 466
467 467 GENERATE_BUGLIST = YES
468 468
469 469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 470 # disable (NO) the deprecated list. This list is created by putting
471 471 # \deprecated commands in the documentation.
472 472
473 473 GENERATE_DEPRECATEDLIST= YES
474 474
475 475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 476 # documentation sections, marked by \if sectionname ... \endif.
477 477
478 478 ENABLED_SECTIONS =
479 479
480 480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 481 # the initial value of a variable or define consists of for it to appear in
482 482 # the documentation. If the initializer consists of more lines than specified
483 483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 484 # The appearance of the initializer of individual variables and defines in the
485 485 # documentation can be controlled using \showinitializer or \hideinitializer
486 486 # command in the documentation regardless of this setting.
487 487
488 488 MAX_INITIALIZER_LINES = 30
489 489
490 490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 491 # at the bottom of the documentation of classes and structs. If set to YES the
492 492 # list will mention the files that were used to generate the documentation.
493 493
494 494 SHOW_USED_FILES = YES
495 495
496 496 # If the sources in your project are distributed over multiple directories
497 497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 498 # in the documentation. The default is NO.
499 499
500 SHOW_DIRECTORIES = YES
500 SHOW_DIRECTORIES = NO
501 501
502 502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 503 # This will remove the Files entry from the Quick Index and from the
504 504 # Folder Tree View (if specified). The default is YES.
505 505
506 506 SHOW_FILES = YES
507 507
508 508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 510 # and from the Folder Tree View (if specified). The default is YES.
511 511
512 512 SHOW_NAMESPACES = YES
513 513
514 514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 515 # doxygen should invoke to get the current version for each file (typically from
516 516 # the version control system). Doxygen will invoke the program by executing (via
517 517 # popen()) the command <command> <input-file>, where <command> is the value of
518 518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 519 # provided by doxygen. Whatever the program writes to standard output
520 520 # is used as the file version. See the manual for examples.
521 521
522 522 FILE_VERSION_FILTER =
523 523
524 524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 525 # by doxygen. The layout file controls the global structure of the generated
526 526 # output files in an output format independent way. The create the layout file
527 527 # that represents doxygen's defaults, run doxygen with the -l option.
528 528 # You can optionally specify a file name after the option, if omitted
529 529 # DoxygenLayout.xml will be used as the name of the layout file.
530 530
531 531 LAYOUT_FILE =
532 532
533 533 #---------------------------------------------------------------------------
534 534 # configuration options related to warning and progress messages
535 535 #---------------------------------------------------------------------------
536 536
537 537 # The QUIET tag can be used to turn on/off the messages that are generated
538 538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539 539
540 540 QUIET = NO
541 541
542 542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 543 # generated by doxygen. Possible values are YES and NO. If left blank
544 544 # NO is used.
545 545
546 546 WARNINGS = YES
547 547
548 548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 550 # automatically be disabled.
551 551
552 552 WARN_IF_UNDOCUMENTED = YES
553 553
554 554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 555 # potential errors in the documentation, such as not documenting some
556 556 # parameters in a documented function, or documenting parameters that
557 557 # don't exist or using markup commands wrongly.
558 558
559 559 WARN_IF_DOC_ERROR = YES
560 560
561 561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 562 # functions that are documented, but have no documentation for their parameters
563 563 # or return value. If set to NO (the default) doxygen will only warn about
564 564 # wrong or incomplete parameter documentation, but not about the absence of
565 565 # documentation.
566 566
567 567 WARN_NO_PARAMDOC = NO
568 568
569 569 # The WARN_FORMAT tag determines the format of the warning messages that
570 570 # doxygen can produce. The string should contain the $file, $line, and $text
571 571 # tags, which will be replaced by the file and line number from which the
572 572 # warning originated and the warning text. Optionally the format may contain
573 573 # $version, which will be replaced by the version of the file (if it could
574 574 # be obtained via FILE_VERSION_FILTER)
575 575
576 576 WARN_FORMAT = "$file:$line: $text"
577 577
578 578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 579 # and error messages should be written. If left blank the output is written
580 580 # to stderr.
581 581
582 582 WARN_LOGFILE =
583 583
584 584 #---------------------------------------------------------------------------
585 585 # configuration options related to the input files
586 586 #---------------------------------------------------------------------------
587 587
588 588 # The INPUT tag can be used to specify the files and/or directories that contain
589 589 # documented source files. You may enter file names like "myfile.cpp" or
590 590 # directories like "/usr/src/myproject". Separate the files or directories
591 591 # with spaces.
592 592
593 593 INPUT = ./libsrc
594 594
595 595 # This tag can be used to specify the character encoding of the source files
596 596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 599 # the list of possible encodings.
600 600
601 601 INPUT_ENCODING = UTF-8
602 602
603 603 # If the value of the INPUT tag contains directories, you can use the
604 604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 605 # and *.h) to filter out the source-files in the directories. If left
606 606 # blank the following patterns are tested:
607 607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609 609
610 610 FILE_PATTERNS = *.c \
611 611 *.cc \
612 612 *.cxx \
613 613 *.cpp \
614 614 *.c++ \
615 615 *.d \
616 616 *.java \
617 617 *.ii \
618 618 *.ixx \
619 619 *.ipp \
620 620 *.i++ \
621 621 *.inl \
622 622 *.h \
623 623 *.hh \
624 624 *.hxx \
625 625 *.hpp \
626 626 *.h++ \
627 627 *.idl \
628 628 *.odl \
629 629 *.cs \
630 630 *.php \
631 631 *.php3 \
632 632 *.inc \
633 633 *.m \
634 634 *.mm \
635 635 *.dox \
636 636 *.py \
637 637 *.f90 \
638 638 *.f \
639 639 *.vhd \
640 640 *.vhdl
641 641
642 642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 643 # should be searched for input files as well. Possible values are YES and NO.
644 644 # If left blank NO is used.
645 645
646 646 RECURSIVE = YES
647 647
648 648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 649 # excluded from the INPUT source files. This way you can easily exclude a
650 650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651 651
652 652 EXCLUDE =
653 653
654 654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 656 # from the input.
657 657
658 658 EXCLUDE_SYMLINKS = NO
659 659
660 660 # If the value of the INPUT tag contains directories, you can use the
661 661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 662 # certain files from those directories. Note that the wildcards are matched
663 663 # against the file with absolute path, so to exclude all test directories
664 664 # for example use the pattern */test/*
665 665
666 666 EXCLUDE_PATTERNS =
667 667
668 668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 670 # output. The symbol name can be a fully qualified name, a word, or if the
671 671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 672 # AClass::ANamespace, ANamespace::*Test
673 673
674 674 EXCLUDE_SYMBOLS =
675 675
676 676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 677 # directories that contain example code fragments that are included (see
678 678 # the \include command).
679 679
680 EXAMPLE_PATH = Doc/ressources/examples
680 EXAMPLE_PATH =
681 681
682 682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 684 # and *.h) to filter out the source-files in the directories. If left
685 685 # blank all files are included.
686 686
687 687 EXAMPLE_PATTERNS = *
688 688
689 689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 690 # searched for input files to be used with the \include or \dontinclude
691 691 # commands irrespective of the value of the RECURSIVE tag.
692 692 # Possible values are YES and NO. If left blank NO is used.
693 693
694 694 EXAMPLE_RECURSIVE = NO
695 695
696 696 # The IMAGE_PATH tag can be used to specify one or more files or
697 697 # directories that contain image that are included in the documentation (see
698 698 # the \image command).
699 699
700 700 IMAGE_PATH =
701 701
702 702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 706 # input file. Doxygen will then use the output that the filter program writes
707 707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 708 # ignored.
709 709
710 710 INPUT_FILTER =
711 711
712 712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 713 # basis. Doxygen will compare the file name with each pattern and apply the
714 714 # filter if there is a match. The filters are a list of the form:
715 715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 717 # is applied to all files.
718 718
719 719 FILTER_PATTERNS =
720 720
721 721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 722 # INPUT_FILTER) will be used to filter the input files when producing source
723 723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724 724
725 725 FILTER_SOURCE_FILES = NO
726 726
727 727 #---------------------------------------------------------------------------
728 728 # configuration options related to source browsing
729 729 #---------------------------------------------------------------------------
730 730
731 731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 732 # be generated. Documented entities will be cross-referenced with these sources.
733 733 # Note: To get rid of all source code in the generated output, make sure also
734 734 # VERBATIM_HEADERS is set to NO.
735 735
736 736 SOURCE_BROWSER = YES
737 737
738 738 # Setting the INLINE_SOURCES tag to YES will include the body
739 739 # of functions and classes directly in the documentation.
740 740
741 741 INLINE_SOURCES = YES
742 742
743 743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 744 # doxygen to hide any special comment blocks from generated source code
745 745 # fragments. Normal C and C++ comments will always remain visible.
746 746
747 747 STRIP_CODE_COMMENTS = YES
748 748
749 749 # If the REFERENCED_BY_RELATION tag is set to YES
750 750 # then for each documented function all documented
751 751 # functions referencing it will be listed.
752 752
753 753 REFERENCED_BY_RELATION = NO
754 754
755 755 # If the REFERENCES_RELATION tag is set to YES
756 756 # then for each documented function all documented entities
757 757 # called/used by that function will be listed.
758 758
759 759 REFERENCES_RELATION = NO
760 760
761 761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 764 # link to the source code. Otherwise they will link to the documentation.
765 765
766 766 REFERENCES_LINK_SOURCE = YES
767 767
768 768 # If the USE_HTAGS tag is set to YES then the references to source code
769 769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 770 # built-in source browser. The htags tool is part of GNU's global source
771 771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 772 # will need version 4.8.6 or higher.
773 773
774 774 USE_HTAGS = NO
775 775
776 776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 777 # will generate a verbatim copy of the header file for each class for
778 778 # which an include is specified. Set to NO to disable this.
779 779
780 780 VERBATIM_HEADERS = YES
781 781
782 782 #---------------------------------------------------------------------------
783 783 # configuration options related to the alphabetical class index
784 784 #---------------------------------------------------------------------------
785 785
786 786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 787 # of all compounds will be generated. Enable this if the project
788 788 # contains a lot of classes, structs, unions or interfaces.
789 789
790 790 ALPHABETICAL_INDEX = YES
791 791
792 792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 794 # in which this list will be split (can be a number in the range [1..20])
795 795
796 796 COLS_IN_ALPHA_INDEX = 5
797 797
798 798 # In case all classes in a project start with a common prefix, all
799 799 # classes will be put under the same header in the alphabetical index.
800 800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 801 # should be ignored while generating the index headers.
802 802
803 803 IGNORE_PREFIX =
804 804
805 805 #---------------------------------------------------------------------------
806 806 # configuration options related to the HTML output
807 807 #---------------------------------------------------------------------------
808 808
809 809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 810 # generate HTML output.
811 811
812 812 GENERATE_HTML = YES
813 813
814 814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 816 # put in front of it. If left blank `html' will be used as the default path.
817 817
818 818 HTML_OUTPUT = html
819 819
820 820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 822 # doxygen will generate files with .html extension.
823 823
824 824 HTML_FILE_EXTENSION = .html
825 825
826 826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 827 # each generated HTML page. If it is left blank doxygen will generate a
828 828 # standard header.
829 829
830 HTML_HEADER = Doc/ressources/Header
830 HTML_HEADER =
831 831
832 832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 833 # each generated HTML page. If it is left blank doxygen will generate a
834 834 # standard footer.
835 835
836 HTML_FOOTER = Doc/ressources/Footer
836 HTML_FOOTER =
837 837
838 838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 839 # style sheet that is used by each HTML page. It can be used to
840 840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 841 # will generate a default style sheet. Note that doxygen will try to copy
842 842 # the style sheet file to the HTML output directory, so don't put your own
843 843 # stylesheet in the HTML output directory as well, or it will be erased!
844 844
845 HTML_STYLESHEET = Doc/ressources/doxygen.css
845 HTML_STYLESHEET =
846 846
847 847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 848 # Doxygen will adjust the colors in the stylesheet and background images
849 849 # according to this color. Hue is specified as an angle on a colorwheel,
850 850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 853 # The allowed range is 0 to 359.
854 854
855 HTML_COLORSTYLE_HUE = 218
855 HTML_COLORSTYLE_HUE = 220
856 856
857 857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 858 # the colors in the HTML output. For a value of 0 the output will use
859 859 # grayscales only. A value of 255 will produce the most vivid colors.
860 860
861 861 HTML_COLORSTYLE_SAT = 100
862 862
863 863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 864 # the luminance component of the colors in the HTML output. Values below
865 865 # 100 gradually make the output lighter, whereas values above 100 make
866 866 # the output darker. The value divided by 100 is the actual gamma applied,
867 867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 868 # and 100 does not change the gamma.
869 869
870 870 HTML_COLORSTYLE_GAMMA = 80
871 871
872 872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 873 # page will contain the date and time when the page was generated. Setting
874 874 # this to NO can help when comparing the output of multiple runs.
875 875
876 876 HTML_TIMESTAMP = YES
877 877
878 878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 879 # files or namespaces will be aligned in HTML using tables. If set to
880 880 # NO a bullet list will be used.
881 881
882 882 HTML_ALIGN_MEMBERS = YES
883 883
884 884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 885 # documentation will contain sections that can be hidden and shown after the
886 886 # page has loaded. For this to work a browser that supports
887 887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889 889
890 890 HTML_DYNAMIC_SECTIONS = YES
891 891
892 892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 893 # will be generated that can be used as input for Apple's Xcode 3
894 894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 895 # To create a documentation set, doxygen will generate a Makefile in the
896 896 # HTML output directory. Running make will produce the docset in that
897 897 # directory and running "make install" will install the docset in
898 898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 899 # it at startup.
900 900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 901 # for more information.
902 902
903 903 GENERATE_DOCSET = NO
904 904
905 905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 906 # feed. A documentation feed provides an umbrella under which multiple
907 907 # documentation sets from a single provider (such as a company or product suite)
908 908 # can be grouped.
909 909
910 910 DOCSET_FEEDNAME = "Doxygen generated docs"
911 911
912 912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 913 # should uniquely identify the documentation set bundle. This should be a
914 914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 915 # will append .docset to the name.
916 916
917 917 DOCSET_BUNDLE_ID = org.doxygen.Project
918 918
919 919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 920 # the documentation publisher. This should be a reverse domain-name style
921 921 # string, e.g. com.mycompany.MyDocSet.documentation.
922 922
923 923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924 924
925 925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926 926
927 927 DOCSET_PUBLISHER_NAME = Publisher
928 928
929 929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 930 # will be generated that can be used as input for tools like the
931 931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 932 # of the generated HTML documentation.
933 933
934 934 GENERATE_HTMLHELP = NO
935 935
936 936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 937 # be used to specify the file name of the resulting .chm file. You
938 938 # can add a path in front of the file if the result should not be
939 939 # written to the html output directory.
940 940
941 941 CHM_FILE =
942 942
943 943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 944 # be used to specify the location (absolute path including file name) of
945 945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 946 # the HTML help compiler on the generated index.hhp.
947 947
948 948 HHC_LOCATION =
949 949
950 950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 951 # controls if a separate .chi index file is generated (YES) or that
952 952 # it should be included in the master .chm file (NO).
953 953
954 954 GENERATE_CHI = NO
955 955
956 956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 958 # content.
959 959
960 960 CHM_INDEX_ENCODING =
961 961
962 962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 963 # controls whether a binary table of contents is generated (YES) or a
964 964 # normal table of contents (NO) in the .chm file.
965 965
966 966 BINARY_TOC = NO
967 967
968 968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 969 # to the contents of the HTML help documentation and to the tree view.
970 970
971 971 TOC_EXPAND = NO
972 972
973 973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 975 # that can be used as input for Qt's qhelpgenerator to generate a
976 976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977 977
978 978 GENERATE_QHP = NO
979 979
980 980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 981 # be used to specify the file name of the resulting .qch file.
982 982 # The path specified is relative to the HTML output folder.
983 983
984 984 QCH_FILE =
985 985
986 986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 987 # Qt Help Project output. For more information please see
988 988 # http://doc.trolltech.com/qthelpproject.html#namespace
989 989
990 990 QHP_NAMESPACE = org.doxygen.Project
991 991
992 992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 993 # Qt Help Project output. For more information please see
994 994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995 995
996 996 QHP_VIRTUAL_FOLDER = doc
997 997
998 998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 999 # add. For more information please see
1000 1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001 1001
1002 1002 QHP_CUST_FILTER_NAME =
1003 1003
1004 1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 1005 # custom filter to add. For more information please see
1006 1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 1007 # Qt Help Project / Custom Filters</a>.
1008 1008
1009 1009 QHP_CUST_FILTER_ATTRS =
1010 1010
1011 1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 1012 # project's
1013 1013 # filter section matches.
1014 1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 1015 # Qt Help Project / Filter Attributes</a>.
1016 1016
1017 1017 QHP_SECT_FILTER_ATTRS =
1018 1018
1019 1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 1020 # be used to specify the location of Qt's qhelpgenerator.
1021 1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 1022 # .qhp file.
1023 1023
1024 1024 QHG_LOCATION =
1025 1025
1026 1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 1028 # plugin. To install this plugin and make it available under the help contents
1029 1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 1031 # the directory within the plugins directory should be the same as
1032 1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 1033 # the help appears.
1034 1034
1035 1035 GENERATE_ECLIPSEHELP = NO
1036 1036
1037 1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 1038 # the directory name containing the HTML and XML files should also have
1039 1039 # this name.
1040 1040
1041 1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042 1042
1043 1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 1044 # top of each HTML page. The value NO (the default) enables the index and
1045 1045 # the value YES disables it.
1046 1046
1047 1047 DISABLE_INDEX = NO
1048 1048
1049 1049 # This tag can be used to set the number of enum values (range [1..20])
1050 1050 # that doxygen will group on one line in the generated HTML documentation.
1051 1051
1052 1052 ENUM_VALUES_PER_LINE = 4
1053 1053
1054 1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 1055 # structure should be generated to display hierarchical information.
1056 1056 # If the tag value is set to YES, a side panel will be generated
1057 1057 # containing a tree-like index structure (just like the one that
1058 1058 # is generated for HTML Help). For this to work a browser that supports
1059 1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 1060 # Windows users are probably better off using the HTML help feature.
1061 1061
1062 GENERATE_TREEVIEW = YES
1062 GENERATE_TREEVIEW = NO
1063 1063
1064 1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066 1066
1067 1067 USE_INLINE_TREES = NO
1068 1068
1069 1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 1071 # is shown.
1072 1072
1073 1073 TREEVIEW_WIDTH = 250
1074 1074
1075 1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 1076 # links to external symbols imported via tag files in a separate window.
1077 1077
1078 1078 EXT_LINKS_IN_WINDOW = NO
1079 1079
1080 1080 # Use this tag to change the font size of Latex formulas included
1081 1081 # as images in the HTML documentation. The default is 10. Note that
1082 1082 # when you change the font size after a successful doxygen run you need
1083 1083 # to manually remove any form_*.png images from the HTML output directory
1084 1084 # to force them to be regenerated.
1085 1085
1086 1086 FORMULA_FONTSIZE = 10
1087 1087
1088 1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 1091 # Note that when changing this option you need to delete any form_*.png files
1092 1092 # in the HTML output before the changes have effect.
1093 1093
1094 1094 FORMULA_TRANSPARENT = YES
1095 1095
1096 1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 1097 # for the HTML output. The underlying search engine uses javascript
1098 1098 # and DHTML and should work on any modern browser. Note that when using
1099 1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 1101 # typically be disabled. For large projects the javascript based search engine
1102 1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103 1103
1104 1104 SEARCHENGINE = YES
1105 1105
1106 1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 1107 # implemented using a PHP enabled web server instead of at the web client
1108 1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 1109 # file to put on the web server. The advantage of the server
1110 1110 # based approach is that it scales better to large projects and allows
1111 1111 # full text search. The disadvances is that it is more difficult to setup
1112 1112 # and does not have live searching capabilities.
1113 1113
1114 1114 SERVER_BASED_SEARCH = NO
1115 1115
1116 1116 #---------------------------------------------------------------------------
1117 1117 # configuration options related to the LaTeX output
1118 1118 #---------------------------------------------------------------------------
1119 1119
1120 1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 1121 # generate Latex output.
1122 1122
1123 1123 GENERATE_LATEX = YES
1124 1124
1125 1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 1127 # put in front of it. If left blank `latex' will be used as the default path.
1128 1128
1129 1129 LATEX_OUTPUT = latex
1130 1130
1131 1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 1132 # invoked. If left blank `latex' will be used as the default command name.
1133 1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 1135 # Makefile that is written to the output directory.
1136 1136
1137 1137 LATEX_CMD_NAME = latex
1138 1138
1139 1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 1141 # default command name.
1142 1142
1143 1143 MAKEINDEX_CMD_NAME = makeindex
1144 1144
1145 1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 1146 # LaTeX documents. This may be useful for small projects and may help to
1147 1147 # save some trees in general.
1148 1148
1149 1149 COMPACT_LATEX = YES
1150 1150
1151 1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 1153 # executive. If left blank a4wide will be used.
1154 1154
1155 1155 PAPER_TYPE = a4wide
1156 1156
1157 1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 1158 # packages that should be included in the LaTeX output.
1159 1159
1160 1160 EXTRA_PACKAGES =
1161 1161
1162 1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 1163 # the generated latex document. The header should contain everything until
1164 1164 # the first chapter. If it is left blank doxygen will generate a
1165 1165 # standard header. Notice: only use this tag if you know what you are doing!
1166 1166
1167 1167 LATEX_HEADER =
1168 1168
1169 1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 1171 # contain links (just like the HTML output) instead of page references
1172 1172 # This makes the output suitable for online browsing using a pdf viewer.
1173 1173
1174 1174 PDF_HYPERLINKS = YES
1175 1175
1176 1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 1178 # higher quality PDF documentation.
1179 1179
1180 1180 USE_PDFLATEX = YES
1181 1181
1182 1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 1184 # running if errors occur, instead of asking the user for help.
1185 1185 # This option is also used when generating formulas in HTML.
1186 1186
1187 1187 LATEX_BATCHMODE = NO
1188 1188
1189 1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 1191 # in the output.
1192 1192
1193 1193 LATEX_HIDE_INDICES = NO
1194 1194
1195 1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 1196 # source code with syntax highlighting in the LaTeX output.
1197 1197 # Note that which sources are shown also depends on other settings
1198 1198 # such as SOURCE_BROWSER.
1199 1199
1200 1200 LATEX_SOURCE_CODE = NO
1201 1201
1202 1202 #---------------------------------------------------------------------------
1203 1203 # configuration options related to the RTF output
1204 1204 #---------------------------------------------------------------------------
1205 1205
1206 1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 1208 # other RTF readers or editors.
1209 1209
1210 1210 GENERATE_RTF = NO
1211 1211
1212 1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215 1215
1216 1216 RTF_OUTPUT = rtf
1217 1217
1218 1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 1219 # RTF documents. This may be useful for small projects and may help to
1220 1220 # save some trees in general.
1221 1221
1222 1222 COMPACT_RTF = NO
1223 1223
1224 1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 1225 # will contain hyperlink fields. The RTF file will
1226 1226 # contain links (just like the HTML output) instead of page references.
1227 1227 # This makes the output suitable for online browsing using WORD or other
1228 1228 # programs which support those fields.
1229 1229 # Note: wordpad (write) and others do not support links.
1230 1230
1231 1231 RTF_HYPERLINKS = NO
1232 1232
1233 1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 1234 # config file, i.e. a series of assignments. You only have to provide
1235 1235 # replacements, missing definitions are set to their default value.
1236 1236
1237 1237 RTF_STYLESHEET_FILE =
1238 1238
1239 1239 # Set optional variables used in the generation of an rtf document.
1240 1240 # Syntax is similar to doxygen's config file.
1241 1241
1242 1242 RTF_EXTENSIONS_FILE =
1243 1243
1244 1244 #---------------------------------------------------------------------------
1245 1245 # configuration options related to the man page output
1246 1246 #---------------------------------------------------------------------------
1247 1247
1248 1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 1249 # generate man pages
1250 1250
1251 1251 GENERATE_MAN = NO
1252 1252
1253 1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 1255 # put in front of it. If left blank `man' will be used as the default path.
1256 1256
1257 1257 MAN_OUTPUT = man
1258 1258
1259 1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 1260 # the generated man pages (default is the subroutine's section .3)
1261 1261
1262 1262 MAN_EXTENSION = .3
1263 1263
1264 1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 1265 # then it will generate one additional man file for each entity
1266 1266 # documented in the real man page(s). These additional files
1267 1267 # only source the real man page, but without them the man command
1268 1268 # would be unable to find the correct page. The default is NO.
1269 1269
1270 1270 MAN_LINKS = NO
1271 1271
1272 1272 #---------------------------------------------------------------------------
1273 1273 # configuration options related to the XML output
1274 1274 #---------------------------------------------------------------------------
1275 1275
1276 1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 1277 # generate an XML file that captures the structure of
1278 1278 # the code including all documentation.
1279 1279
1280 1280 GENERATE_XML = NO
1281 1281
1282 1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 1284 # put in front of it. If left blank `xml' will be used as the default path.
1285 1285
1286 1286 XML_OUTPUT = xml
1287 1287
1288 1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 1289 # which can be used by a validating XML parser to check the
1290 1290 # syntax of the XML files.
1291 1291
1292 1292 XML_SCHEMA =
1293 1293
1294 1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 1295 # which can be used by a validating XML parser to check the
1296 1296 # syntax of the XML files.
1297 1297
1298 1298 XML_DTD =
1299 1299
1300 1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 1301 # dump the program listings (including syntax highlighting
1302 1302 # and cross-referencing information) to the XML output. Note that
1303 1303 # enabling this will significantly increase the size of the XML output.
1304 1304
1305 1305 XML_PROGRAMLISTING = YES
1306 1306
1307 1307 #---------------------------------------------------------------------------
1308 1308 # configuration options for the AutoGen Definitions output
1309 1309 #---------------------------------------------------------------------------
1310 1310
1311 1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 1313 # that captures the structure of the code including all
1314 1314 # documentation. Note that this feature is still experimental
1315 1315 # and incomplete at the moment.
1316 1316
1317 1317 GENERATE_AUTOGEN_DEF = NO
1318 1318
1319 1319 #---------------------------------------------------------------------------
1320 1320 # configuration options related to the Perl module output
1321 1321 #---------------------------------------------------------------------------
1322 1322
1323 1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 1324 # generate a Perl module file that captures the structure of
1325 1325 # the code including all documentation. Note that this
1326 1326 # feature is still experimental and incomplete at the
1327 1327 # moment.
1328 1328
1329 1329 GENERATE_PERLMOD = NO
1330 1330
1331 1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 1333 # to generate PDF and DVI output from the Perl module output.
1334 1334
1335 1335 PERLMOD_LATEX = NO
1336 1336
1337 1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 1339 # if you want to understand what is going on. On the other hand, if this
1340 1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 1341 # and Perl will parse it just the same.
1342 1342
1343 1343 PERLMOD_PRETTY = YES
1344 1344
1345 1345 # The names of the make variables in the generated doxyrules.make file
1346 1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 1347 # This is useful so different doxyrules.make files included by the same
1348 1348 # Makefile don't overwrite each other's variables.
1349 1349
1350 1350 PERLMOD_MAKEVAR_PREFIX =
1351 1351
1352 1352 #---------------------------------------------------------------------------
1353 1353 # Configuration options related to the preprocessor
1354 1354 #---------------------------------------------------------------------------
1355 1355
1356 1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 1357 # evaluate all C-preprocessor directives found in the sources and include
1358 1358 # files.
1359 1359
1360 1360 ENABLE_PREPROCESSING = YES
1361 1361
1362 1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 1363 # names in the source code. If set to NO (the default) only conditional
1364 1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366 1366
1367 1367 MACRO_EXPANSION = NO
1368 1368
1369 1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 1370 # then the macro expansion is limited to the macros specified with the
1371 1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372 1372
1373 1373 EXPAND_ONLY_PREDEF = NO
1374 1374
1375 1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377 1377
1378 1378 SEARCH_INCLUDES = YES
1379 1379
1380 1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 1381 # contain include files that are not input files but should be processed by
1382 1382 # the preprocessor.
1383 1383
1384 1384 INCLUDE_PATH =
1385 1385
1386 1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 1389 # be used.
1390 1390
1391 1391 INCLUDE_FILE_PATTERNS =
1392 1392
1393 1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 1394 # are defined before the preprocessor is started (similar to the -D option of
1395 1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 1396 # or name=definition (no spaces). If the definition and the = are
1397 1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 1398 # undefined via #undef or recursively expanded use the := operator
1399 1399 # instead of the = operator.
1400 1400
1401 1401 PREDEFINED =
1402 1402
1403 1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 1405 # The macro definition that is found in the sources will be used.
1406 1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407 1407
1408 1408 EXPAND_AS_DEFINED =
1409 1409
1410 1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 1413 # function macros are typically used for boiler-plate code, and will confuse
1414 1414 # the parser if not removed.
1415 1415
1416 1416 SKIP_FUNCTION_MACROS = YES
1417 1417
1418 1418 #---------------------------------------------------------------------------
1419 1419 # Configuration::additions related to external references
1420 1420 #---------------------------------------------------------------------------
1421 1421
1422 1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 1423 # Optionally an initial location of the external documentation
1424 1424 # can be added for each tagfile. The format of a tag file without
1425 1425 # this location is as follows:
1426 1426 # TAGFILES = file1 file2 ...
1427 1427 # Adding location for the tag files is done as follows:
1428 1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 1430 # URLs. If a location is present for each tag, the installdox tool
1431 1431 # does not have to be run to correct the links.
1432 1432 # Note that each tag file must have a unique name
1433 1433 # (where the name does NOT include the path)
1434 1434 # If a tag file is not located in the directory in which doxygen
1435 1435 # is run, you must also specify the path to the tagfile here.
1436 1436
1437 1437 TAGFILES =
1438 1438
1439 1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 1440 # a tag file that is based on the input files it reads.
1441 1441
1442 1442 GENERATE_TAGFILE =
1443 1443
1444 1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 1445 # in the class index. If set to NO only the inherited external classes
1446 1446 # will be listed.
1447 1447
1448 1448 ALLEXTERNALS = NO
1449 1449
1450 1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 1451 # in the modules index. If set to NO, only the current project's groups will
1452 1452 # be listed.
1453 1453
1454 1454 EXTERNAL_GROUPS = YES
1455 1455
1456 1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 1457 # interpreter (i.e. the result of `which perl').
1458 1458
1459 1459 PERL_PATH = /usr/bin/perl
1460 1460
1461 1461 #---------------------------------------------------------------------------
1462 1462 # Configuration options related to the dot tool
1463 1463 #---------------------------------------------------------------------------
1464 1464
1465 1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 1469 # fallback. It is recommended to install and use dot, since it yields more
1470 1470 # powerful graphs.
1471 1471
1472 1472 CLASS_DIAGRAMS = YES
1473 1473
1474 1474 # You can define message sequence charts within doxygen comments using the \msc
1475 1475 # command. Doxygen will then run the mscgen tool (see
1476 1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 1479 # default search path.
1480 1480
1481 1481 MSCGEN_PATH =
1482 1482
1483 1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 1484 # inheritance and usage relations if the target is undocumented
1485 1485 # or is not a class.
1486 1486
1487 1487 HIDE_UNDOC_RELATIONS = YES
1488 1488
1489 1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 1492 # have no effect if this option is set to NO (the default)
1493 1493
1494 HAVE_DOT = YES
1494 HAVE_DOT = NO
1495 1495
1496 1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 1498 # base this on the number of processors available in the system. You can set it
1499 1499 # explicitly to a value larger than 0 to get control over the balance
1500 1500 # between CPU load and processing speed.
1501 1501
1502 1502 DOT_NUM_THREADS = 0
1503 1503
1504 1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 1505 # directory and reference it in all dot files that doxygen generates. This
1506 1506 # font does not include all possible unicode characters however, so when you need
1507 1507 # these (or just want a differently looking font) you can specify the font name
1508 1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 1509 # which can be done by putting it in a standard location or by setting the
1510 1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 1511 # containing the font.
1512 1512
1513 1513 DOT_FONTNAME = FreeSans.ttf
1514 1514
1515 1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 1516 # The default size is 10pt.
1517 1517
1518 1518 DOT_FONTSIZE = 10
1519 1519
1520 1520 # By default doxygen will tell dot to use the output directory to look for the
1521 1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 1522 # different font using DOT_FONTNAME you can set the path where dot
1523 1523 # can find it using this tag.
1524 1524
1525 1525 DOT_FONTPATH =
1526 1526
1527 1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 1528 # will generate a graph for each documented class showing the direct and
1529 1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 1530 # the CLASS_DIAGRAMS tag to NO.
1531 1531
1532 1532 CLASS_GRAPH = YES
1533 1533
1534 1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 1535 # will generate a graph for each documented class showing the direct and
1536 1536 # indirect implementation dependencies (inheritance, containment, and
1537 1537 # class references variables) of the class with other documented classes.
1538 1538
1539 1539 COLLABORATION_GRAPH = YES
1540 1540
1541 1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 1542 # will generate a graph for groups, showing the direct groups dependencies
1543 1543
1544 1544 GROUP_GRAPHS = YES
1545 1545
1546 1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 1548 # Language.
1549 1549
1550 UML_LOOK = YES
1550 UML_LOOK = NO
1551 1551
1552 1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 1553 # relations between templates and their instances.
1554 1554
1555 1555 TEMPLATE_RELATIONS = NO
1556 1556
1557 1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 1559 # file showing the direct and indirect include dependencies of the file with
1560 1560 # other documented files.
1561 1561
1562 1562 INCLUDE_GRAPH = YES
1563 1563
1564 1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 1566 # documented header file showing the documented files that directly or
1567 1567 # indirectly include this file.
1568 1568
1569 1569 INCLUDED_BY_GRAPH = YES
1570 1570
1571 1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 1572 # doxygen will generate a call dependency graph for every global function
1573 1573 # or class method. Note that enabling this option will significantly increase
1574 1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 1575 # for selected functions only using the \callgraph command.
1576 1576
1577 1577 CALL_GRAPH = NO
1578 1578
1579 1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 1580 # doxygen will generate a caller dependency graph for every global function
1581 1581 # or class method. Note that enabling this option will significantly increase
1582 1582 # the time of a run. So in most cases it will be better to enable caller
1583 1583 # graphs for selected functions only using the \callergraph command.
1584 1584
1585 1585 CALLER_GRAPH = NO
1586 1586
1587 1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 1588 # will graphical hierarchy of all classes instead of a textual one.
1589 1589
1590 1590 GRAPHICAL_HIERARCHY = YES
1591 1591
1592 1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 1593 # then doxygen will show the dependencies a directory has on other directories
1594 1594 # in a graphical way. The dependency relations are determined by the #include
1595 1595 # relations between the files in the directories.
1596 1596
1597 1597 DIRECTORY_GRAPH = YES
1598 1598
1599 1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 1600 # generated by dot. Possible values are png, jpg, or gif
1601 1601 # If left blank png will be used.
1602 1602
1603 1603 DOT_IMAGE_FORMAT = png
1604 1604
1605 1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607 1607
1608 1608 DOT_PATH =
1609 1609
1610 1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 1611 # contain dot files that are included in the documentation (see the
1612 1612 # \dotfile command).
1613 1613
1614 1614 DOTFILE_DIRS =
1615 1615
1616 1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 1620 # number of direct children of the root node in a graph is already larger than
1621 1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623 1623
1624 1624 DOT_GRAPH_MAX_NODES = 50
1625 1625
1626 1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 1629 # that lay further from the root node will be omitted. Note that setting this
1630 1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 1631 # code bases. Also note that the size of a graph can be further restricted by
1632 1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633 1633
1634 1634 MAX_DOT_GRAPH_DEPTH = 0
1635 1635
1636 1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 1637 # background. This is disabled by default, because dot on Windows does not
1638 1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 1640 # a graph (i.e. they become hard to read).
1641 1641
1642 1642 DOT_TRANSPARENT = NO
1643 1643
1644 1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 1647 # support this, this feature is disabled by default.
1648 1648
1649 1649 DOT_MULTI_TARGETS = NO
1650 1650
1651 1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 1652 # generate a legend page explaining the meaning of the various boxes and
1653 1653 # arrows in the dot generated graphs.
1654 1654
1655 1655 GENERATE_LEGEND = YES
1656 1656
1657 1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 1658 # remove the intermediate dot files that are used to generate
1659 1659 # the various graphs.
1660 1660
1661 1661 DOT_CLEANUP = YES
1 NO CONTENT: modified file, binary diff hidden
@@ -1,1 +1,1
1 load bin/BenchFIFO.bin
1 load bin/hello.bin
@@ -1,76 +1,77
1 1 SCRIPTSDIR=scripts/
2 2 LIBDIR=lib/
3 3 BOARDSDIR=boards/
4 4 DESIGNSDIR=designs/
5 5
6 6
7 7
8 8 .PHONY:doc
9 9
10 10
11 11 all: help
12 12
13 13 help:
14 14 @echo
15 15 @echo " batch targets:"
16 16 @echo
17 17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
18 18 @echo " make dist : create a tar file for using into an other computer"
19 19 @echo " make Patched-dist : create a tar file for with a patched grlib for using"
20 20 @echo " into an other computer"
21 21 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
22 22 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
23 23 @echo " make doc : make documentation for VHDL IPs"
24 24 @echo " make pdf : make pdf documentation for VHDL IPs"
25 25 @echo " make C-libs : make C drivers for APB devices"
26 26 @echo " binary files availiable on VHD_Lib/LPP_DRIVERS/lib ./includes"
27 27 @echo
28 28
29 29
30 30
31 31 allGPL:
32 32 @echo "Scanning VHDL files ..."
33 33 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R vhd lib
34 34 @echo "Scanning C files ..."
35 35 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R c LPP_drivers
36 36 @echo "Scanning H files ..."
37 37 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers
38 38
39 39 init: C-libs
40 40 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
41 41 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
42 42
43 43 C-libs:APB_devs
44 44 make -C LPP_drivers
45 45
46 46
47 47 APB_devs:
48 48 sh $(SCRIPTSDIR)/APB_DEV_UPDATER.sh
49 49
50 50
51 51 Patch-GRLIB: init doc
52 52 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
53 53
54 54
55 55 dist: init
56 56 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
57 57
58 58
59 59 Patched-dist: Patch-GRLIB
60 60 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
61 61
62 62
63 63 doc:
64 mkdir -p doc/html/
64 65 cp doc/ressources/*.jpg doc/html/
65 66 cp doc/ressources/doxygen.css doc/html/
66 67 make -C lib/lpp doc
67 68 make -C LPP_drivers doc
68 69
69 70
70 71 pdf: doc
71 72 sh $(SCRIPTSDIR)/doc.sh
72 73
73 74
74 75
75 76
76 77
@@ -1,180 +1,180
1 1 -----------------------------------------------------------------------------
2 2 -- LEON3 Demonstration design test bench configuration
3 3 -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 2 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 ------------------------------------------------------------------------------
15 15
16 16
17 17 library techmap;
18 18 use techmap.gencomp.all;
19 19
20 20 package config is
21 21
22 22
23 23 -- Technology and synthesis options
24 24 constant CFG_FABTECH : integer := apa3;
25 25 constant CFG_MEMTECH : integer := apa3;
26 26 constant CFG_PADTECH : integer := inferred;
27 27 constant CFG_NOASYNC : integer := 0;
28 28 constant CFG_SCAN : integer := 0;
29 29
30 30 -- Clock generator
31 31 constant CFG_CLKTECH : integer := inferred;
32 constant CFG_CLKMUL : integer := (45);
33 constant CFG_CLKDIV : integer := (9);
34 constant CFG_OCLKDIV : integer := (8);
32 constant CFG_CLKMUL : integer := (5);
33 constant CFG_CLKDIV : integer := (10);
34 constant CFG_OCLKDIV : integer := (1);
35 35 constant CFG_PCIDLL : integer := 0;
36 36 constant CFG_PCISYSCLK: integer := 0;
37 37 constant CFG_CLK_NOFB : integer := 0;
38 38
39 39 -- LEON3 processor core
40 40 constant CFG_LEON3 : integer := 1;
41 41 constant CFG_NCPU : integer := (1);
42 42 constant CFG_NWIN : integer := (7);
43 43 constant CFG_V8 : integer := 0;
44 44 constant CFG_MAC : integer := 0;
45 45 constant CFG_SVT : integer := 0;
46 46 constant CFG_RSTADDR : integer := 16#00000#;
47 47 constant CFG_LDDEL : integer := (1);
48 48 constant CFG_NWP : integer := (0);
49 49 constant CFG_PWD : integer := 1*2;
50 50 constant CFG_FPU : integer := 0 + 16*0;
51 51 constant CFG_GRFPUSH : integer := 0;
52 52 constant CFG_ICEN : integer := 1;
53 53 constant CFG_ISETS : integer := 1;
54 54 constant CFG_ISETSZ : integer := 4;
55 55 constant CFG_ILINE : integer := 4;
56 56 constant CFG_IREPL : integer := 0;
57 57 constant CFG_ILOCK : integer := 0;
58 58 constant CFG_ILRAMEN : integer := 0;
59 59 constant CFG_ILRAMADDR: integer := 16#8E#;
60 60 constant CFG_ILRAMSZ : integer := 1;
61 61 constant CFG_DCEN : integer := 1;
62 62 constant CFG_DSETS : integer := 1;
63 63 constant CFG_DSETSZ : integer := 4;
64 64 constant CFG_DLINE : integer := 4;
65 65 constant CFG_DREPL : integer := 0;
66 66 constant CFG_DLOCK : integer := 0;
67 67 constant CFG_DSNOOP : integer := 0 + 0 + 4*0;
68 68 constant CFG_DFIXED : integer := 16#00F3#;
69 69 constant CFG_DLRAMEN : integer := 0;
70 70 constant CFG_DLRAMADDR: integer := 16#8F#;
71 71 constant CFG_DLRAMSZ : integer := 1;
72 72 constant CFG_MMUEN : integer := 0;
73 73 constant CFG_ITLBNUM : integer := 2;
74 74 constant CFG_DTLBNUM : integer := 2;
75 75 constant CFG_TLB_TYPE : integer := 1 + 0*2;
76 76 constant CFG_TLB_REP : integer := 1;
77 77 constant CFG_DSU : integer := 1;
78 78 constant CFG_ITBSZ : integer := 0;
79 79 constant CFG_ATBSZ : integer := 0;
80 80 constant CFG_LEON3FT_EN : integer := 0;
81 81 constant CFG_IUFT_EN : integer := 0;
82 82 constant CFG_FPUFT_EN : integer := 0;
83 83 constant CFG_RF_ERRINJ : integer := 0;
84 84 constant CFG_CACHE_FT_EN : integer := 0;
85 85 constant CFG_CACHE_ERRINJ : integer := 0;
86 86 constant CFG_LEON3_NETLIST: integer := 0;
87 87 constant CFG_DISAS : integer := 0 + 0;
88 88 constant CFG_PCLOW : integer := 2;
89 89
90 90 -- AMBA settings
91 91 constant CFG_DEFMST : integer := (0);
92 92 constant CFG_RROBIN : integer := 1;
93 93 constant CFG_SPLIT : integer := 0;
94 94 constant CFG_AHBIO : integer := 16#FFF#;
95 95 constant CFG_APBADDR : integer := 16#800#;
96 96 constant CFG_AHB_MON : integer := 0;
97 97 constant CFG_AHB_MONERR : integer := 0;
98 98 constant CFG_AHB_MONWAR : integer := 0;
99 99
100 100 -- DSU UART
101 101 constant CFG_AHB_UART : integer := 1;
102 102
103 103 -- JTAG based DSU interface
104 104 constant CFG_AHB_JTAG : integer := 0;
105 105
106 106 -- Ethernet DSU
107 107 constant CFG_DSU_ETH : integer := 0 + 0;
108 108 constant CFG_ETH_BUF : integer := 1;
109 109 constant CFG_ETH_IPM : integer := 16#C0A8#;
110 110 constant CFG_ETH_IPL : integer := 16#0033#;
111 111 constant CFG_ETH_ENM : integer := 16#00007A#;
112 112 constant CFG_ETH_ENL : integer := 16#CC0001#;
113 113
114 114 -- LEON2 memory controller
115 115 constant CFG_MCTRL_LEON2 : integer := 1;
116 116 constant CFG_MCTRL_RAM8BIT : integer := 0;
117 117 constant CFG_MCTRL_RAM16BIT : integer := 0;
118 118 constant CFG_MCTRL_5CS : integer := 0;
119 119 constant CFG_MCTRL_SDEN : integer := 0;
120 120 constant CFG_MCTRL_SEPBUS : integer := 0;
121 121 constant CFG_MCTRL_INVCLK : integer := 0;
122 122 constant CFG_MCTRL_SD64 : integer := 0;
123 123 constant CFG_MCTRL_PAGE : integer := 0 + 0;
124 124
125 125 -- SSRAM controller
126 126 constant CFG_SSCTRL : integer := 0;
127 127 constant CFG_SSCTRLP16 : integer := 0;
128 128
129 129 -- AHB ROM
130 130 constant CFG_AHBROMEN : integer := 0;
131 131 constant CFG_AHBROPIP : integer := 0;
132 132 constant CFG_AHBRODDR : integer := 16#000#;
133 133 constant CFG_ROMADDR : integer := 16#000#;
134 134 constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
135 135
136 136 -- AHB RAM
137 137 constant CFG_AHBRAMEN : integer := 0;
138 138 constant CFG_AHBRSZ : integer := 1;
139 139 constant CFG_AHBRADDR : integer := 16#A00#;
140 140
141 141 -- Gaisler Ethernet core
142 142 constant CFG_GRETH : integer := 0;
143 143 constant CFG_GRETH1G : integer := 0;
144 144 constant CFG_ETH_FIFO : integer := 8;
145 145
146 146 -- CAN 2.0 interface
147 147 constant CFG_CAN : integer := 0;
148 148 constant CFG_CANIO : integer := 16#0#;
149 149 constant CFG_CANIRQ : integer := 0;
150 150 constant CFG_CANLOOP : integer := 0;
151 151 constant CFG_CAN_SYNCRST : integer := 0;
152 152 constant CFG_CANFT : integer := 0;
153 153
154 154 -- UART 1
155 155 constant CFG_UART1_ENABLE : integer := 1;
156 156 constant CFG_UART1_FIFO : integer := 1;
157 157
158 158 -- LEON3 interrupt controller
159 159 constant CFG_IRQ3_ENABLE : integer := 1;
160 160
161 161 -- Modular timer
162 162 constant CFG_GPT_ENABLE : integer := 1;
163 163 constant CFG_GPT_NTIM : integer := (2);
164 164 constant CFG_GPT_SW : integer := (8);
165 165 constant CFG_GPT_TW : integer := (32);
166 166 constant CFG_GPT_IRQ : integer := (8);
167 167 constant CFG_GPT_SEPIRQ : integer := 1;
168 168 constant CFG_GPT_WDOGEN : integer := 0;
169 169 constant CFG_GPT_WDOG : integer := 16#0#;
170 170
171 171 -- GPIO port
172 172 constant CFG_GRGPIO_ENABLE : integer := 1;
173 173 constant CFG_GRGPIO_IMASK : integer := 16#0000#;
174 174 constant CFG_GRGPIO_WIDTH : integer := (7);
175 175
176 176 -- GRLIB debugging
177 177 constant CFG_DUART : integer := 0;
178 178
179 179
180 end; No newline at end of file
180 end;
@@ -1,294 +1,326
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 17:16:12 03/29/2011
6 -- Design Name:
7 -- Module Name: top - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
1 -----------------------------------------------------------------------------
2 -- LEON3 Demonstration design
3 -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
12 9 --
13 -- Dependencies:
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 ------------------------------------------------------------------------------
19
20
20 21 library ieee;
21 22 use ieee.std_logic_1164.all;
22 23 library grlib;
23 24 use grlib.amba.all;
24 25 use grlib.stdlib.all;
25 26 library techmap;
26 27 use techmap.gencomp.all;
27 28 library gaisler;
28 29 use gaisler.memctrl.all;
29 30 use gaisler.leon3.all;
30 31 use gaisler.uart.all;
31 32 use gaisler.misc.all;
32 33 library esa;
33 34 use esa.memoryctrl.all;
34 35 use work.config.all;
35 36 library lpp;
36 37 use lpp.lpp_amba.all;
37 use lpp.lpp_uart.all;
38 38 use lpp.lpp_memory.all;
39 use lpp.general_purpose.all;
39 --use lpp.lpp_uart.all;
40 --use lpp.lpp_matrix.all;
41 --use lpp.lpp_usb.all;
42
43 entity leon3mp is
44 generic (
45 fabtech : integer := CFG_FABTECH;
46 memtech : integer := CFG_MEMTECH;
47 padtech : integer := CFG_PADTECH;
48 clktech : integer := CFG_CLKTECH;
49 disas : integer := CFG_DISAS; -- Enable disassembly to console
50 dbguart : integer := CFG_DUART; -- Print UART on console
51 pclow : integer := CFG_PCLOW
52 );
53 port (
54 clk50MHz : in std_ulogic;
55 reset : in std_ulogic;
56 ramclk : out std_logic;
57
58 ahbrxd : in std_ulogic; -- DSU rx data
59 ahbtxd : out std_ulogic; -- DSU tx data
60 dsubre : in std_ulogic;
61 dsuact : out std_ulogic;
62 urxd1 : in std_ulogic; -- UART1 rx data
63 utxd1 : out std_ulogic; -- UART1 tx data
64 errorn : out std_ulogic;
65
66 address : out std_logic_vector(18 downto 0);
67 data : inout std_logic_vector(31 downto 0);
40 68
41 entity miniamba is
42 generic (
43 fabtech : integer := CFG_FABTECH;
44 memtech : integer := CFG_MEMTECH;
45 padtech : integer := CFG_PADTECH;
46 clktech : integer := CFG_CLKTECH;
47 disas : integer := CFG_DISAS; -- Enable disassembly to console
48 dbguart : integer := CFG_DUART; -- Print UART on console
49 pclow : integer := CFG_PCLOW);
50 Port (
51 clk50MHz : in STD_LOGIC;
52 reset : in STD_LOGIC;
53 led : out std_logic_vector(1 downto 0);
54 errorn : out std_ulogic;
55 dsubre : in std_ulogic;
56 dsuact : out std_ulogic;
57 ahbrxd : in std_ulogic;
58 ahbtxd : out std_ulogic;
59 urxd1 : in std_ulogic;
60 utxd1 : out std_ulogic;
61 data : inout std_logic_vector(31 downto 0);
62 address : out std_logic_vector(18 downto 0);
63 nBWa : out std_logic;
64 nBWb : out std_logic;
65 nBWc : out std_logic;
66 nBWd : out std_logic;
67 nBWE : out std_logic;
68 nADSC : out std_logic;
69 nADSP : out std_logic;
70 nADV : out std_logic;
71 nGW : out std_logic;
72 nCE1 : out std_logic;
73 CE2 : out std_logic;
74 nCE3 : out std_logic;
75 nOE : out std_logic;
76 MODE : out std_logic;
77 SSRAM_CLK : out std_logic;
78 ZZ : out std_logic
79 );
80 end miniamba;
69 nBWa : out std_logic;
70 nBWb : out std_logic;
71 nBWc : out std_logic;
72 nBWd : out std_logic;
73 nBWE : out std_logic;
74 nADSC : out std_logic;
75 nADSP : out std_logic;
76 nADV : out std_logic;
77 nGW : out std_logic;
78 nCE1 : out std_logic;
79 CE2 : out std_logic;
80 nCE3 : out std_logic;
81 nOE : out std_logic;
82 MODE : out std_logic;
83 SSRAM_CLK : out std_logic;
84 ZZ : out std_logic;
85 led : out std_logic_vector(1 downto 0)
86 );
87 end;
81 88
82 architecture Behavioral of miniamba is
89 architecture Behavioral of leon3mp is
90
91 constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+
92 CFG_GRETH+CFG_AHB_JTAG;
93 constant maxahbm : integer := maxahbmsp;
83 94
95 --Clk & Rst gοΏ½nοΏ½
96 signal vcc : std_logic_vector(4 downto 0);
97 signal gnd : std_logic_vector(4 downto 0);
98 signal resetnl : std_ulogic;
99 signal clk2x : std_ulogic;
100 signal lclk : std_ulogic;
101 signal lclk2x : std_ulogic;
102 signal clkm : std_ulogic;
103 signal rstn : std_ulogic;
104 signal rstraw : std_ulogic;
105 signal pciclk : std_ulogic;
106 signal sdclkl : std_ulogic;
107 signal cgi : clkgen_in_type;
108 signal cgo : clkgen_out_type;
84 109 --- AHB / APB
85 signal apbi : apb_slv_in_type;
86 signal apbo : apb_slv_out_vector := (others => apb_none);
87 signal ahbsi : ahb_slv_in_type;
88 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
89 signal ahbmi : ahb_mst_in_type;
90 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
91 -- AHBUART
92 signal ahbuarti: uart_in_type;
93 signal ahbuarto: uart_out_type;
94 signal apbuarti: uart_in_type;
95 signal apbuarto: uart_out_type;
96 signal rxd2 : std_ulogic;
97 signal rxd1 : std_ulogic;
98 signal txd1 : std_ulogic;
99
100 signal vcc : std_logic_vector(4 downto 0);
101 signal gnd : std_logic_vector(4 downto 0);
102
103 -- MEM CTRLR
104 signal memi : memory_in_type;
105 signal memo : memory_out_type;
106 signal sdo : sdram_out_type;
107 signal sdo3 : sdctrl_out_type;
108 signal wpo : wprot_out_type;
110 signal apbi : apb_slv_in_type;
111 signal apbo : apb_slv_out_vector := (others => apb_none);
112 signal ahbsi : ahb_slv_in_type;
113 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
114 signal ahbmi : ahb_mst_in_type;
115 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
116 --UART
117 signal ahbuarti : uart_in_type;
118 signal ahbuarto : uart_out_type;
119 signal apbuarti : uart_in_type;
120 signal apbuarto : uart_out_type;
121 --MEM CTRLR
122 signal memi : memory_in_type;
123 signal memo : memory_out_type;
124 signal wpo : wprot_out_type;
125 signal sdo : sdram_out_type;
126 --IRQ
127 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
128 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
129 --Timer
130 signal gpti : gptimer_in_type;
131 signal gpto : gptimer_out_type;
132 --GPIO
133 signal gpioi : gpio_in_type;
134 signal gpioo : gpio_out_type;
135 --DSU
136 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
137 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
138 signal dsui : dsu_in_type;
139 signal dsuo : dsu_out_type;
140 ----------------------------------------------------------------------
141 --- AJOUT TEST ------------------------Signaux----------------------
142 ----------------------------------------------------------------------
143 -- TEST USB
144 --signal USB_Read : std_logic;
145 --signal USB_Write : std_logic;
109 146
110 signal clkm : std_ulogic;
111 signal resetnl : std_ulogic;
112 signal sdclkl : std_ulogic;
113 signal pciclk : std_ulogic;
114 signal lclk : std_ulogic;
115 signal rstn : std_ulogic;
116 signal clk2x : std_ulogic;
117 signal rstraw : std_logic;
118 signal rstneg : std_logic;
119 signal lock : std_logic;
120 signal cgi : clkgen_in_type;
121 signal cgo : clkgen_out_type;
147 -- MATRICE SPECTRALE
148 --signal Matrix_Write : std_logic;
149 --signal Matrix_Read : std_logic_vector(1 downto 0);
150 --signal Matrix_Full : std_logic_vector(1 downto 0);
151 --signal Matrix_Empty : std_logic_vector(1 downto 0);
152 --signal Matrix_Data1 : std_logic_vector(15 downto 0);
153 --signal Matrix_Data2 : std_logic_vector(15 downto 0);
154 --signal Matrix_Result : std_logic_vector(31 downto 0);
122 155
123 -- LEON3
124 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
125 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
126 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
127 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
128
129 signal dsui : dsu_in_type;
130 signal dsuo : dsu_out_type;
131 signal dui : uart_in_type;
132 signal duo : uart_out_type;
133
134
135 constant boardfreq : integer := 50000; -- input frequency in KHz
156 ---------------------------------------------------------------------
157 constant IOAEN : integer := CFG_CAN;
158 constant boardfreq : integer := 50000;
136 159
137 160 begin
138 161
139 162 ----------------------------------------------------------------------
140 163 --- Reset and Clock generation -------------------------------------
141 164 ----------------------------------------------------------------------
142
143 -- vcc <= (others => '1'); gnd <= (others => '0');
144 -- cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
145 -- rstneg <= reset;
146 --
147 -- rst0 : rstgen port map (rstneg, clkm, '1', rstn, rstraw);
148 -- lock <= cgo.clklock;
149 --
150 -- clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
151 ----
152 ---- clkgen0 : clkgen -- clock generator MUL 4, DIV 5
153 ---- generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
154 ---- port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
155 --
156 --process(lclk)
157 --begin
158 -- if lclk'event and lclk = '1' then
159 -- clkm <= not clkm;
160 -- end if;
161 --end process;
165
162 166 vcc <= (others => '1'); gnd <= (others => '0');
163 167 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
168
169 rst0 : rstgen port map (reset, clkm, cgo.clklock, rstn, rstraw);
164 170
165 clk_pad : inpad generic map (tech => 0) port map (clk50MHz, lclk);
171
172 clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk2x);
166 173
167 174 clkgen0 : clkgen -- clock generator
168 175 generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
169 176 CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
170 port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo);
171
172 resetn_pad : inpad generic map (tech => padtech) port map (reset, resetnl);
173 rst0 : rstgen -- reset generator
174 port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
175 --led(5) <= cgo.clklock;
176
177
178 --------------------------------------
179 --- CLK_DIVIDER ----------------------
180 --------------------------------------
181 clk_divider0 : Clk_divider
182 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
183 Port map( clkm, rstn, led(1));
184
185 -------------------------------
186 --- AHB CONTROLLER ------------
187 -------------------------------
188 ahb0 : ahbctrl -- AHB arbiter/multiplexer
189 generic map (defmast => 0, --AHB_UART default master
190 split => CFG_SPLIT,
191 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
192 nahbm => 3,
193 nahbs => 2)
194 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
195
196
197 -------------------------------
198 --- MEMORY CONTROLLER ---------
199 -------------------------------
200 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
201 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
202
203
204 bdr : for i in 0 to 3 generate
205 data_pad : iopadv generic map (tech => padtech, width => 8)
206 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
207 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
208 end generate;
209
210
211
212 addr_pad : outpadv generic map (width => 19, tech => padtech)
213 port map (address, memo.address(18 downto 0));
214
215
216
217 SSRAM_0:entity ssram_plugin
218 generic map (tech => padtech)
219 port map
220 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
177 port map (lclk, lclk, clkm, open, clk2x, sdclkl, pciclk, cgi, cgo);
178
179 ramclk <= clkm;
180 process(lclk2x)
181 begin
182 if lclk2x'event and lclk2x = '1' then
183 lclk <= not lclk;
184 end if;
185 end process;
221 186
222 187 ----------------------------------------------------------------------
223 --- LEON3 processor and DSU -----------------------------------------
188 --- LEON3 processor / DSU / IRQ ------------------------------------
224 189 ----------------------------------------------------------------------
225 190
226 191 l3 : if CFG_LEON3 = 1 generate
227 192 cpu : for i in 0 to CFG_NCPU-1 generate
228 193 u0 : leon3s -- LEON3 processor
229 194 generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
230 195 0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
231 196 CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
232 197 CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
233 198 CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
234 199 CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
235 200 port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
236 201 irqi(i), irqo(i), dbgi(i), dbgo(i));
237 202 end generate;
238 203 errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
239 204
240 205 dsugen : if CFG_DSU = 1 generate
241 206 dsu0 : dsu3 -- LEON3 Debug Support Unit
242 207 generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
243 208 ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
244 209 port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
245 210 -- dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
246 211 dsui.enable <= '1';
247 212 dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
248 213 dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
249 214 end generate;
250 215 end generate;
251 216
252 217 nodsu : if CFG_DSU = 0 generate
253 218 ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
254 219 end generate;
255 nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
220
221 irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
222 irqctrl0 : irqmp -- interrupt controller
223 generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
224 port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
225 end generate;
226 irq3 : if CFG_IRQ3_ENABLE = 0 generate
227 x : for i in 0 to CFG_NCPU-1 generate
228 irqi(i).irl <= "0000";
229 end generate;
230 apbo(2) <= apb_none;
231 end generate;
232
233 ----------------------------------------------------------------------
234 --- Memory controllers ---------------------------------------------
235 ----------------------------------------------------------------------
236
237 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
238 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
239
240 memi.brdyn <= '1'; memi.bexcn <= '1';
241 memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
242
243 bdr : for i in 0 to 3 generate
244 data_pad : iopadv generic map (tech => padtech, width => 8)
245 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
246 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
247 end generate;
256 248
257 249
258 -------------------------------
259 --- AHBUART -------------------
260 -------------------------------
261 dcom0 : ahbuart -- AMBA AHB Serial Debug Interface
262 generic map (hindex => 1, pindex => 2, paddr => 2)
263 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(2), ahbmi, ahbmo(1));
264 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, rxd2);
265 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
266 ahbuarti.rxd <= rxd2;
250 addr_pad : outpadv generic map (width => 19, tech => padtech)
251 port map (address, memo.address(20 downto 2));
252
253
254 SSRAM_0:entity ssram_plugin
255 generic map (tech => padtech)
256 port map
257 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
258
259 ----------------------------------------------------------------------
260 --- AHB CONTROLLER -------------------------------------------------
261 ----------------------------------------------------------------------
262
263 ahb0 : ahbctrl -- AHB arbiter/multiplexer
264 generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
265 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
266 ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
267 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
267 268
268 269 ----------------------------------------------------------------------
269 --- APB Bridge and various periherals --------------------------------
270 --- AHB UART -------------------------------------------------------
270 271 ----------------------------------------------------------------------
271 apb0 : apbctrl -- AHB/APB bridge
272 generic map (hindex => 3, haddr => CFG_APBADDR)
273 port map (rstn, clkm, ahbsi, ahbso(3), apbi, apbo);
272 dcomgen : if CFG_AHB_UART = 1 generate
273 dcom0: ahbuart -- Debug UART
274 generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
275 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
276 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, ahbuarti.rxd);
277 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
278 -- led(0) <= not ahbuarti.rxd; led(1) <= not ahbuarto.txd;
279 end generate;
280 nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
281
282 ----------------------------------------------------------------------
283 --- APB Bridge -----------------------------------------------------
284 ----------------------------------------------------------------------
274 285
275 uart1 : APB_UART
276 generic map(
277 pindex => 1,
278 paddr => 1)
279 port map(
280 clk => clkm, --! Horloge du composant
281 rst => rstn, --! Reset general du composant
282 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
283 apbo => apbo(1), --! Registre de gestion des sorties du bus
284 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
285 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
286 );
286 apb0 : apbctrl -- AHB/APB bridge
287 generic map (hindex => 1, haddr => CFG_APBADDR)
288 port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
289
290 ----------------------------------------------------------------------
291 --- GPT Timer ------------------------------------------------------
292 ----------------------------------------------------------------------
293
294 gpt : if CFG_GPT_ENABLE /= 0 generate
295 timer0 : gptimer -- timer unit
296 generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
297 sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
298 nbits => CFG_GPT_TW)
299 port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
300 gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
301 -- led(4) <= gpto.wdog;
302 end generate;
303 notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
287 304
288 305
289 ----------------------------------
290 --- LED --------------------------
291 ----------------------------------
292 led(0) <= not rxd1;
306 ----------------------------------------------------------------------
307 --- APB UART -------------------------------------------------------
308 ----------------------------------------------------------------------
309
310 ua1 : if CFG_UART1_ENABLE /= 0 generate
311 uart1 : apbuart -- UART 1
312 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
313 fifosize => CFG_UART1_FIFO)
314 port map (rstn, clkm, apbi, apbo(1), ahbuarti, apbuarto);
315 apbuarti.rxd <= urxd1; apbuarti.extclk <= '0'; utxd1 <= apbuarto.txd;
316 apbuarti.ctsn <= '0'; --rtsn1 <= apbuarto.rtsn;
317 led(0) <= not apbuarti.rxd; led(1) <= not apbuarto.txd;
318 end generate;
319 noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
320
321 ---------------------------------------------------------------------
322 --- AJOUT TEST -------------------------------------IPs------------
323 ---------------------------------------------------------------------
324
293 325
294 326 end Behavioral; No newline at end of file
@@ -1,163 +1,255
1 1 ----------------------------------------------------------------------------------
2 2 -- Company:
3 3 -- Engineer:
4 4 --
5 5 -- Create Date: 17:16:12 03/29/2011
6 6 -- Design Name:
7 7 -- Module Name: top - Behavioral
8 8 -- Project Name:
9 9 -- Target Devices:
10 10 -- Tool versions:
11 11 -- Description:
12 12 --
13 13 -- Dependencies:
14 14 --
15 15 -- Revision:
16 16 -- Revision 0.01 - File Created
17 17 -- Additional Comments:
18 18 --
19 19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22 library grlib;
20 library ieee;
21 use ieee.std_logic_1164.all;
22 library grlib;
23 23 use grlib.amba.all;
24 use work.config.all;
24 use grlib.stdlib.all;
25 library techmap;
26 use techmap.gencomp.all;
25 27 library gaisler;
28 use gaisler.memctrl.all;
29 use gaisler.leon3.all;
26 30 use gaisler.uart.all;
27 31 use gaisler.misc.all;
28 use gaisler.leon3.all;
29 library techmap;
30 use techmap.gencomp.all;
31 use techmap.allclkgen.all;
32 library esa;
33 use esa.memoryctrl.all;
34 use work.config.all;
32 35 library lpp;
33 use lpp.general_purpose.all;
36 use lpp.lpp_amba.all;
34 37 use lpp.lpp_uart.all;
38 use lpp.lpp_memory.all;
39 use lpp.general_purpose.all;
35 40
36 entity top is
41 entity miniamba is
37 42 generic (
38 43 fabtech : integer := CFG_FABTECH;
39 memtech : integer := CFG_MEMTECH;
40 padtech : integer := CFG_PADTECH;
41 clktech : integer := CFG_CLKTECH;
42 disas : integer := CFG_DISAS; -- Enable disassembly to console
43 dbguart : integer := CFG_DUART; -- Print UART on console
44 memtech : integer := CFG_MEMTECH;
45 padtech : integer := CFG_PADTECH;
46 clktech : integer := CFG_CLKTECH;
47 disas : integer := CFG_DISAS; -- Enable disassembly to console
48 dbguart : integer := CFG_DUART; -- Print UART on console
44 49 pclow : integer := CFG_PCLOW);
45 Port ( clk50MHz : in STD_LOGIC;
50 Port (
51 clk50MHz : in STD_LOGIC;
46 52 reset : in STD_LOGIC;
47 53 led : out std_logic_vector(1 downto 0);
48 --
49 ahbrxd : in std_ulogic;
54 ahbrxd : in std_ulogic;
50 55 ahbtxd : out std_ulogic;
51 urxd1 : in std_ulogic;
52 utxd1 : out std_ulogic
53 );
54 end top;
56 urxd1 : in std_ulogic;
57 utxd1 : out std_ulogic;
58 data : inout std_logic_vector(31 downto 0);
59 address : out std_logic_vector(18 downto 0);
60 nBWa : out std_logic;
61 nBWb : out std_logic;
62 nBWc : out std_logic;
63 nBWd : out std_logic;
64 nBWE : out std_logic;
65 nADSC : out std_logic;
66 nADSP : out std_logic;
67 nADV : out std_logic;
68 nGW : out std_logic;
69 nCE1 : out std_logic;
70 CE2 : out std_logic;
71 nCE3 : out std_logic;
72 nOE : out std_logic;
73 MODE : out std_logic;
74 SSRAM_CLK : out std_logic;
75 ZZ : out std_logic
76 );
77 end miniamba;
55 78
56 architecture Behavioral of top is
57
58 --- AHB / APB
59 signal apbi : apb_slv_in_type;
60 signal apbo : apb_slv_out_vector := (others => apb_none);
61 signal ahbsi : ahb_slv_in_type;
62 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
63 signal ahbmi : ahb_mst_in_type;
64 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
79 architecture Behavioral of miniamba is
80
81 --- AHB / APB
82 signal apbi : apb_slv_in_type;
83 signal apbo : apb_slv_out_vector := (others => apb_none);
84 signal ahbsi : ahb_slv_in_type;
85 signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
86 signal ahbmi : ahb_mst_in_type;
87 signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
65 88 -- AHBUART
66 signal ahbuarti: uart_in_type;
89 signal ahbuarti: uart_in_type;
67 90 signal ahbuarto: uart_out_type;
68 signal apbuarti: uart_in_type;
91 signal apbuarti: uart_in_type;
69 92 signal apbuarto: uart_out_type;
70 93 signal rxd2 : std_ulogic;
71 94 signal rxd1 : std_ulogic;
72 95 signal txd1 : std_ulogic;
73 96
74 97 signal vcc : std_logic_vector(4 downto 0);
75 98 signal gnd : std_logic_vector(4 downto 0);
76 --signal LED_rotary : std_logic_vector(7 downto 0);
99
100 -- MEM CTRLR
101 signal memi : memory_in_type;
102 signal memo : memory_out_type;
103 signal sdo : sdram_out_type;
104 signal sdo3 : sdctrl_out_type;
105 signal wpo : wprot_out_type;
77 106
78 107 signal clkm : std_ulogic;
108 signal resetnl : std_ulogic;
109 signal sdclkl : std_ulogic;
110 signal pciclk : std_ulogic;
79 111 signal lclk : std_ulogic;
80 112 signal rstn : std_ulogic;
81 113 signal clk2x : std_ulogic;
82 signal rstraw : std_logic;
114 signal rstraw : std_logic;
83 115 signal rstneg : std_logic;
84 116 signal lock : std_logic;
85 signal cgi : clkgen_in_type;
117 signal cgi : clkgen_in_type;
86 118 signal cgo : clkgen_out_type;
87 119
88 constant BOARD_FREQ : integer := 50000; -- input frequency in KHz
120 -- LEON3
121 signal irqi : irq_in_vector(0 to CFG_NCPU-1);
122 signal irqo : irq_out_vector(0 to CFG_NCPU-1);
123 signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
124 signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
125
126 signal dsui : dsu_in_type;
127 signal dsuo : dsu_out_type;
128 signal dui : uart_in_type;
129 signal duo : uart_out_type;
130
131
132 constant boardfreq : integer := 50000; -- input frequency in KHz
89 133
90 134 begin
91 135
92 ----------------------------------------------------------------------
93 --- Reset and Clock generation -------------------------------------
94 ----------------------------------------------------------------------
95
96 vcc <= (others => '1'); gnd <= (others => '0');
97 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
98 rstneg <= reset;
99
100 rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw);
101 lock <= cgo.clklock;
102
103 clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
104
105 clkgen0 : clkgen -- clock generator MUL 4, DIV 5
106 generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
107 port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
136 ----------------------------------------------------------------------
137 --- Reset and Clock generation -------------------------------------
138 ----------------------------------------------------------------------
139
140 -- vcc <= (others => '1'); gnd <= (others => '0');
141 -- cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
142 -- rstneg <= reset;
143 --
144 -- rst0 : rstgen port map (rstneg, clkm, '1', rstn, rstraw);
145 -- lock <= cgo.clklock;
146 --
147 -- clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk);
148 ----
149 ---- clkgen0 : clkgen -- clock generator MUL 4, DIV 5
150 ---- generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
151 ---- port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
152 --
153 --process(lclk)
154 --begin
155 -- if lclk'event and lclk = '1' then
156 -- clkm <= not clkm;
157 -- end if;
158 --end process;
159 vcc <= (others => '1'); gnd <= (others => '0');
160 cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
161
162 clk_pad : inpad generic map (tech => 0) port map (clk50MHz, lclk);
163
164 clkgen0 : clkgen -- clock generator
165 generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
166 CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV)
167 port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo);
168
169 resetn_pad : inpad generic map (tech => padtech) port map (reset, resetnl);
170 rst0 : rstgen -- reset generator
171 port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
172 --led(5) <= cgo.clklock;
173
108 174
109 175 --------------------------------------
110 176 --- CLK_DIVIDER ----------------------
111 177 --------------------------------------
112 clk_divider0 : Clk_divider
113 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
178 clk_divider0 : Clk_divider
179 generic map (OSC_freqHz => 50000000, TargetFreq_Hz => 5)
114 180 Port map( clkm, rstn, led(1));
115 181
116 -------------------------------
117 --- AHB CONTROLLER ------------
118 -------------------------------
119 ahb0 : ahbctrl -- AHB arbiter/multiplexer
120 generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
121 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
122 nahbm => CFG_NCPU+CFG_AHB_UART,
123 nahbs => 2)
182 -------------------------------
183 --- AHB CONTROLLER ------------
184 -------------------------------
185 ahb0 : ahbctrl -- AHB arbiter/multiplexer
186 generic map (defmast => 0, --AHB_UART default master
187 split => CFG_SPLIT,
188 rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
189 nahbm => 3,
190 nahbs => 2)
124 191 port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
125 192
193
194 -------------------------------
195 --- MEMORY CONTROLLER ---------
196 -------------------------------
197 memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0)
198 port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo);
199
200
201 bdr : for i in 0 to 3 generate
202 data_pad : iopadv generic map (tech => padtech, width => 8)
203 port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
204 memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
205 end generate;
206
207
208
209 addr_pad : outpadv generic map (width => 19, tech => padtech)
210 port map (address, memo.address(18 downto 0));
211
212
213
214 SSRAM_0:entity ssram_plugin
215 generic map (tech => padtech)
216 port map
217 (clkm,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ);
218
126 219 -------------------------------
127 220 --- AHBUART -------------------
128 221 -------------------------------
129 222 dcom0 : ahbuart -- AMBA AHB Serial Debug Interface
130 generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
131 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
223 generic map (hindex => 1, pindex => 2, paddr => 2)
224 port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(2), ahbmi, ahbmo(1));
132 225 dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, rxd2);
133 226 dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd);
134 227 ahbuarti.rxd <= rxd2;
135 228
136 ----------------------------------------------------------------------
137 --- APB Bridge and various periherals --------------------------------
138 ----------------------------------------------------------------------
229 ----------------------------------------------------------------------
230 --- APB Bridge and various periherals --------------------------------
231 ----------------------------------------------------------------------
139 232 apb0 : apbctrl -- AHB/APB bridge
140 generic map (hindex => 1, haddr => CFG_APBADDR,nslaves => 1)
141 port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
233 generic map (hindex => 3, haddr => CFG_APBADDR)
234 port map (rstn, clkm, ahbsi, ahbso(3), apbi, apbo);
142 235
143 uart1 : APB_UART
144 generic map(
145 pindex => 0,
146 paddr => 0)
147 port map(
148 clk => clkm, --! Horloge du composant
149 rst => rstn, --! Reset general du composant
150 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
151 apbo => apbo(0), --! Registre de gestion des sorties du bus
152 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
153 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
154 );
236 uart1 : APB_UART
237 generic map(
238 pindex => 1,
239 paddr => 1)
240 port map(
241 clk => clkm, --! Horloge du composant
242 rst => rstn, --! Reset general du composant
243 apbi => apbi, --! Registre de gestion des entrοΏ½es du bus
244 apbo => apbo(1), --! Registre de gestion des sorties du bus
245 TXD => utxd1, --! Transmission sοΏ½rie, cοΏ½tοΏ½ composant
246 RXD => urxd1 --! Reception sοΏ½rie, cοΏ½tοΏ½ composant
247 );
155 248
156 249
157 250 ----------------------------------
158 251 --- LED --------------------------
159 252 ----------------------------------
160 253 led(0) <= not rxd1;
161 254
162 end Behavioral;
163
255 end Behavioral; No newline at end of file
@@ -1,1963 +1,2196
1 1 KEY LIBERO "8.6"
2 2 KEY CAPTURE "8.6.2.10"
3 3 KEY HDLTechnology "VHDL"
4 4 KEY VendorTechnology_Family "PROASIC3"
5 5 KEY VendorTechnology_Die "IT14X14M4LDP"
6 6 KEY VendorTechnology_Package "fg324"
7 7 KEY ProjectLocation "."
8 8 KEY SimulationType "VHDL"
9 9 KEY Vendor "Actel"
10 10 KEY ActiveRoot "top"
11 11 LIST REVISIONS
12 12 VALUE="Impl1",NUM=1
13 13 CURREV=1
14 14 ENDLIST
15 15 LIST LIBRARIES
16 16 grlib
17 dw02
17 18 synplify
18 19 techmap
19 20 spw
20 21 eth
21 22 opencores
22 23 gaisler
23 24 esa
24 25 fmf
25 26 spansion
26 27 gsi
27 28 lpp
28 29 cypress
29 30 work
30 31 ENDLIST
31 32 LIST LIBRARIES_grlib
32 33 ALIAS=grlib
33 34 COMPILE_OPTION=COMPILE
34 35 ENDLIST
36 LIST LIBRARIES_dw02
37 ALIAS=dw02
38 COMPILE_OPTION=COMPILE
39 ENDLIST
35 40 LIST LIBRARIES_synplify
36 41 ALIAS=synplify
37 42 COMPILE_OPTION=COMPILE
38 43 ENDLIST
39 44 LIST LIBRARIES_techmap
40 45 ALIAS=techmap
41 46 COMPILE_OPTION=COMPILE
42 47 ENDLIST
43 48 LIST LIBRARIES_spw
44 49 ALIAS=spw
45 50 COMPILE_OPTION=COMPILE
46 51 ENDLIST
47 52 LIST LIBRARIES_eth
48 53 ALIAS=eth
49 54 COMPILE_OPTION=COMPILE
50 55 ENDLIST
51 56 LIST LIBRARIES_opencores
52 57 ALIAS=opencores
53 58 COMPILE_OPTION=COMPILE
54 59 ENDLIST
55 60 LIST LIBRARIES_gaisler
56 61 ALIAS=gaisler
57 62 COMPILE_OPTION=COMPILE
58 63 ENDLIST
59 64 LIST LIBRARIES_esa
60 65 ALIAS=esa
61 66 COMPILE_OPTION=COMPILE
62 67 ENDLIST
63 68 LIST LIBRARIES_fmf
64 69 ALIAS=fmf
65 70 COMPILE_OPTION=COMPILE
66 71 ENDLIST
67 72 LIST LIBRARIES_spansion
68 73 ALIAS=spansion
69 74 COMPILE_OPTION=COMPILE
70 75 ENDLIST
71 76 LIST LIBRARIES_gsi
72 77 ALIAS=gsi
73 78 COMPILE_OPTION=COMPILE
74 79 ENDLIST
75 80 LIST LIBRARIES_lpp
76 81 ALIAS=lpp
77 82 COMPILE_OPTION=COMPILE
78 83 ENDLIST
79 84 LIST LIBRARIES_cypress
80 85 ALIAS=cypress
81 86 COMPILE_OPTION=COMPILE
82 87 ENDLIST
83 88 LIST LIBRARIES_work
84 89 ALIAS=work
85 90 COMPILE_OPTION=COMPILE
86 91 ENDLIST
87 92 LIST FileManager
88 93 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
89 94 STATE="utd"
90 95 LIBRARY="grlib"
91 96 ENDFILE
92 97 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
93 98 STATE="utd"
94 99 LIBRARY="grlib"
95 100 ENDFILE
96 101 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
97 102 STATE="utd"
98 103 LIBRARY="grlib"
99 104 ENDFILE
100 105 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
101 106 STATE="utd"
102 107 LIBRARY="grlib"
103 108 ENDFILE
104 109 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
105 110 STATE="utd"
106 111 LIBRARY="grlib"
107 112 ENDFILE
108 113 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
109 114 STATE="utd"
110 115 LIBRARY="grlib"
111 116 ENDFILE
112 117 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
113 118 STATE="utd"
114 119 LIBRARY="grlib"
115 120 ENDFILE
116 121 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
117 122 STATE="utd"
118 123 LIBRARY="grlib"
119 124 ENDFILE
120 125 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
121 126 STATE="utd"
122 127 LIBRARY="grlib"
123 128 ENDFILE
124 129 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
125 130 STATE="utd"
126 131 LIBRARY="grlib"
127 132 ENDFILE
128 133 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
129 134 STATE="utd"
130 135 LIBRARY="grlib"
131 136 ENDFILE
132 137 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
133 138 STATE="utd"
134 139 LIBRARY="grlib"
135 140 ENDFILE
136 141 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
137 142 STATE="utd"
138 143 LIBRARY="grlib"
139 144 ENDFILE
140 145 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
141 146 STATE="utd"
142 147 LIBRARY="grlib"
143 148 ENDFILE
144 149 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
145 150 STATE="utd"
146 151 LIBRARY="grlib"
147 152 ENDFILE
148 153 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
149 154 STATE="utd"
150 155 LIBRARY="grlib"
151 156 ENDFILE
152 157 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
153 158 STATE="utd"
154 159 LIBRARY="grlib"
155 160 ENDFILE
156 161 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
157 162 STATE="utd"
158 163 LIBRARY="grlib"
159 164 ENDFILE
160 165 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
161 166 STATE="utd"
162 167 LIBRARY="grlib"
163 168 ENDFILE
164 169 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
165 170 STATE="utd"
166 171 LIBRARY="grlib"
167 172 ENDFILE
173 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
174 STATE="utd"
175 LIBRARY="dw02"
176 ENDFILE
168 177 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
169 178 STATE="utd"
170 179 LIBRARY="synplify"
171 180 ENDFILE
172 181 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
173 182 STATE="utd"
174 183 LIBRARY="synplify"
175 184 ENDFILE
176 185 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
177 186 STATE="utd"
178 187 LIBRARY="techmap"
179 188 ENDFILE
180 189 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
181 190 STATE="utd"
182 191 LIBRARY="techmap"
183 192 ENDFILE
184 193 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
185 194 STATE="utd"
186 195 LIBRARY="techmap"
187 196 ENDFILE
197 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
198 STATE="utd"
199 LIBRARY="techmap"
200 ENDFILE
188 201 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
189 202 STATE="utd"
190 203 LIBRARY="techmap"
191 204 ENDFILE
192 205 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
193 206 STATE="utd"
194 207 LIBRARY="techmap"
195 208 ENDFILE
196 209 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
197 210 STATE="utd"
198 211 LIBRARY="techmap"
199 212 ENDFILE
213 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
214 STATE="utd"
215 LIBRARY="techmap"
216 ENDFILE
217 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
218 STATE="utd"
219 LIBRARY="techmap"
220 ENDFILE
221 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
222 STATE="utd"
223 LIBRARY="techmap"
224 ENDFILE
225 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
226 STATE="utd"
227 LIBRARY="techmap"
228 ENDFILE
229 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
230 STATE="utd"
231 LIBRARY="techmap"
232 ENDFILE
233 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
234 STATE="utd"
235 LIBRARY="techmap"
236 ENDFILE
237 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
238 STATE="utd"
239 LIBRARY="techmap"
240 ENDFILE
241 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
242 STATE="utd"
243 LIBRARY="techmap"
244 ENDFILE
245 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
246 STATE="utd"
247 LIBRARY="techmap"
248 ENDFILE
249 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
250 STATE="utd"
251 LIBRARY="techmap"
252 ENDFILE
253 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
254 STATE="utd"
255 LIBRARY="techmap"
256 ENDFILE
257 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
258 STATE="utd"
259 LIBRARY="techmap"
260 ENDFILE
261 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
262 STATE="utd"
263 LIBRARY="techmap"
264 ENDFILE
265 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
266 STATE="utd"
267 LIBRARY="techmap"
268 ENDFILE
200 269 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
201 270 STATE="utd"
202 271 LIBRARY="techmap"
203 272 ENDFILE
204 273 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
205 274 STATE="utd"
206 275 LIBRARY="techmap"
207 276 ENDFILE
208 277 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
209 278 STATE="utd"
210 279 LIBRARY="techmap"
211 280 ENDFILE
212 281 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
213 282 STATE="utd"
214 283 LIBRARY="techmap"
215 284 ENDFILE
216 285 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
217 286 STATE="utd"
218 287 LIBRARY="techmap"
219 288 ENDFILE
220 289 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
221 290 STATE="utd"
222 291 LIBRARY="techmap"
223 292 ENDFILE
224 293 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
225 294 STATE="utd"
226 295 LIBRARY="techmap"
227 296 ENDFILE
228 297 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
229 298 STATE="utd"
230 299 LIBRARY="techmap"
231 300 ENDFILE
232 301 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
233 302 STATE="utd"
234 303 LIBRARY="techmap"
235 304 ENDFILE
236 305 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
237 306 STATE="utd"
238 307 LIBRARY="techmap"
239 308 ENDFILE
240 309 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
241 310 STATE="utd"
242 311 LIBRARY="techmap"
243 312 ENDFILE
244 313 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
245 314 STATE="utd"
246 315 LIBRARY="techmap"
247 316 ENDFILE
248 317 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
249 318 STATE="utd"
250 319 LIBRARY="techmap"
251 320 ENDFILE
252 321 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
253 322 STATE="utd"
254 323 LIBRARY="techmap"
255 324 ENDFILE
256 325 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
257 326 STATE="utd"
258 327 LIBRARY="techmap"
259 328 ENDFILE
260 329 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
261 330 STATE="utd"
262 331 LIBRARY="techmap"
263 332 ENDFILE
264 333 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
265 334 STATE="utd"
266 335 LIBRARY="techmap"
267 336 ENDFILE
268 337 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
269 338 STATE="utd"
270 339 LIBRARY="techmap"
271 340 ENDFILE
272 341 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
273 342 STATE="utd"
274 343 LIBRARY="techmap"
275 344 ENDFILE
276 345 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
277 346 STATE="utd"
278 347 LIBRARY="techmap"
279 348 ENDFILE
280 349 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
281 350 STATE="utd"
282 351 LIBRARY="techmap"
283 352 ENDFILE
284 353 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
285 354 STATE="utd"
286 355 LIBRARY="techmap"
287 356 ENDFILE
288 357 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
289 358 STATE="utd"
290 359 LIBRARY="techmap"
291 360 ENDFILE
292 361 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
293 362 STATE="utd"
294 363 LIBRARY="techmap"
295 364 ENDFILE
296 365 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
297 366 STATE="utd"
298 367 LIBRARY="techmap"
299 368 ENDFILE
300 369 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
301 370 STATE="utd"
302 371 LIBRARY="techmap"
303 372 ENDFILE
304 373 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
305 374 STATE="utd"
306 375 LIBRARY="techmap"
307 376 ENDFILE
308 377 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
309 378 STATE="utd"
310 379 LIBRARY="techmap"
311 380 ENDFILE
312 381 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
313 382 STATE="utd"
314 383 LIBRARY="techmap"
315 384 ENDFILE
316 385 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
317 386 STATE="utd"
318 387 LIBRARY="techmap"
319 388 ENDFILE
320 389 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
321 390 STATE="utd"
322 391 LIBRARY="techmap"
323 392 ENDFILE
324 393 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
325 394 STATE="utd"
326 395 LIBRARY="techmap"
327 396 ENDFILE
328 397 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
329 398 STATE="utd"
330 399 LIBRARY="techmap"
331 400 ENDFILE
332 401 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
333 402 STATE="utd"
334 403 LIBRARY="techmap"
335 404 ENDFILE
336 405 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
337 406 STATE="utd"
338 407 LIBRARY="techmap"
339 408 ENDFILE
340 409 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
341 410 STATE="utd"
342 411 LIBRARY="techmap"
343 412 ENDFILE
344 413 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
345 414 STATE="utd"
346 415 LIBRARY="techmap"
347 416 ENDFILE
348 417 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
349 418 STATE="utd"
350 419 LIBRARY="techmap"
351 420 ENDFILE
352 421 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
353 422 STATE="utd"
354 423 LIBRARY="techmap"
355 424 ENDFILE
356 425 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
357 426 STATE="utd"
358 427 LIBRARY="techmap"
359 428 ENDFILE
360 429 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
361 430 STATE="utd"
362 431 LIBRARY="techmap"
363 432 ENDFILE
364 433 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
365 434 STATE="utd"
366 435 LIBRARY="techmap"
367 436 ENDFILE
368 437 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
369 438 STATE="utd"
370 439 LIBRARY="techmap"
371 440 ENDFILE
372 441 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
373 442 STATE="utd"
374 443 LIBRARY="techmap"
375 444 ENDFILE
376 445 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
377 446 STATE="utd"
378 447 LIBRARY="techmap"
379 448 ENDFILE
380 449 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
381 450 STATE="utd"
382 451 LIBRARY="techmap"
383 452 ENDFILE
384 453 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
385 454 STATE="utd"
386 455 LIBRARY="techmap"
387 456 ENDFILE
388 457 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
389 458 STATE="utd"
390 459 LIBRARY="techmap"
391 460 ENDFILE
392 461 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
393 462 STATE="utd"
394 463 LIBRARY="techmap"
395 464 ENDFILE
396 465 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
397 466 STATE="utd"
398 467 LIBRARY="techmap"
399 468 ENDFILE
400 469 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
401 470 STATE="utd"
402 471 LIBRARY="techmap"
403 472 ENDFILE
404 473 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
405 474 STATE="utd"
406 475 LIBRARY="techmap"
407 476 ENDFILE
408 477 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
409 478 STATE="utd"
410 479 LIBRARY="techmap"
411 480 ENDFILE
412 481 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
413 482 STATE="utd"
414 483 LIBRARY="techmap"
415 484 ENDFILE
416 485 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
417 486 STATE="utd"
418 487 LIBRARY="techmap"
419 488 ENDFILE
420 489 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
421 490 STATE="utd"
422 491 LIBRARY="spw"
423 492 ENDFILE
424 493 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
425 494 STATE="utd"
426 495 LIBRARY="spw"
427 496 ENDFILE
428 497 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
429 498 STATE="utd"
430 499 LIBRARY="spw"
431 500 ENDFILE
432 501 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
433 502 STATE="utd"
434 503 LIBRARY="eth"
435 504 ENDFILE
436 505 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
437 506 STATE="utd"
438 507 LIBRARY="eth"
439 508 ENDFILE
440 509 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
441 510 STATE="utd"
442 511 LIBRARY="eth"
443 512 ENDFILE
444 513 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
445 514 STATE="utd"
446 515 LIBRARY="eth"
447 516 ENDFILE
448 517 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
449 518 STATE="utd"
450 519 LIBRARY="eth"
451 520 ENDFILE
452 521 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
453 522 STATE="utd"
454 523 LIBRARY="eth"
455 524 ENDFILE
456 525 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
457 526 STATE="utd"
458 527 LIBRARY="eth"
459 528 ENDFILE
460 529 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
461 530 STATE="utd"
462 531 LIBRARY="eth"
463 532 ENDFILE
464 533 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
465 534 STATE="utd"
466 535 LIBRARY="eth"
467 536 ENDFILE
468 537 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
469 538 STATE="utd"
470 539 LIBRARY="eth"
471 540 ENDFILE
472 541 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
473 542 STATE="utd"
474 543 LIBRARY="opencores"
475 544 ENDFILE
476 545 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
477 546 STATE="utd"
478 547 LIBRARY="opencores"
479 548 ENDFILE
480 549 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
481 550 STATE="utd"
482 551 LIBRARY="opencores"
483 552 ENDFILE
484 553 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
485 554 STATE="utd"
486 555 LIBRARY="gaisler"
487 556 ENDFILE
488 557 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
489 558 STATE="utd"
490 559 LIBRARY="gaisler"
491 560 ENDFILE
492 561 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
493 562 STATE="utd"
494 563 LIBRARY="gaisler"
495 564 ENDFILE
496 565 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
497 566 STATE="utd"
498 567 LIBRARY="gaisler"
499 568 ENDFILE
500 569 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
501 570 STATE="utd"
502 571 LIBRARY="gaisler"
503 572 ENDFILE
504 573 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
505 574 STATE="utd"
506 575 LIBRARY="gaisler"
507 576 ENDFILE
508 577 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
509 578 STATE="utd"
510 579 LIBRARY="gaisler"
511 580 ENDFILE
512 581 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
513 582 STATE="utd"
514 583 LIBRARY="gaisler"
515 584 ENDFILE
516 585 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
517 586 STATE="utd"
518 587 LIBRARY="gaisler"
519 588 ENDFILE
520 589 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
521 590 STATE="utd"
522 591 LIBRARY="gaisler"
523 592 ENDFILE
524 593 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
525 594 STATE="utd"
526 595 LIBRARY="gaisler"
527 596 ENDFILE
528 597 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
529 598 STATE="utd"
530 599 LIBRARY="gaisler"
531 600 ENDFILE
532 601 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
533 602 STATE="utd"
534 603 LIBRARY="gaisler"
535 604 ENDFILE
536 605 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
537 606 STATE="utd"
538 607 LIBRARY="gaisler"
539 608 ENDFILE
540 609 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
541 610 STATE="utd"
542 611 LIBRARY="gaisler"
543 612 ENDFILE
544 613 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
545 614 STATE="utd"
546 615 LIBRARY="gaisler"
547 616 ENDFILE
548 617 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
549 618 STATE="utd"
550 619 LIBRARY="gaisler"
551 620 ENDFILE
552 621 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
553 622 STATE="utd"
554 623 LIBRARY="gaisler"
555 624 ENDFILE
556 625 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
557 626 STATE="utd"
558 627 LIBRARY="gaisler"
559 628 ENDFILE
560 629 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
561 630 STATE="utd"
562 631 LIBRARY="gaisler"
563 632 ENDFILE
564 633 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
565 634 STATE="utd"
566 635 LIBRARY="gaisler"
567 636 ENDFILE
568 637 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
569 638 STATE="utd"
570 639 LIBRARY="gaisler"
571 640 ENDFILE
572 641 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
573 642 STATE="utd"
574 643 LIBRARY="gaisler"
575 644 ENDFILE
576 645 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
577 646 STATE="utd"
578 647 LIBRARY="gaisler"
579 648 ENDFILE
580 649 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
581 650 STATE="utd"
582 651 LIBRARY="gaisler"
583 652 ENDFILE
584 653 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
585 654 STATE="utd"
586 655 LIBRARY="gaisler"
587 656 ENDFILE
588 657 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
589 658 STATE="utd"
590 659 LIBRARY="gaisler"
591 660 ENDFILE
592 661 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
593 662 STATE="utd"
594 663 LIBRARY="gaisler"
595 664 ENDFILE
596 665 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
597 666 STATE="utd"
598 667 LIBRARY="gaisler"
599 668 ENDFILE
600 669 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
601 670 STATE="utd"
602 671 LIBRARY="gaisler"
603 672 ENDFILE
604 673 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
605 674 STATE="utd"
606 675 LIBRARY="gaisler"
607 676 ENDFILE
608 677 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
609 678 STATE="utd"
610 679 LIBRARY="gaisler"
611 680 ENDFILE
612 681 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
613 682 STATE="utd"
614 683 LIBRARY="gaisler"
615 684 ENDFILE
616 685 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
617 686 STATE="utd"
618 687 LIBRARY="gaisler"
619 688 ENDFILE
620 689 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
621 690 STATE="utd"
622 691 LIBRARY="gaisler"
623 692 ENDFILE
624 693 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
625 694 STATE="utd"
626 695 LIBRARY="gaisler"
627 696 ENDFILE
628 697 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
629 698 STATE="utd"
630 699 LIBRARY="gaisler"
631 700 ENDFILE
632 701 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
633 702 STATE="utd"
634 703 LIBRARY="gaisler"
635 704 ENDFILE
636 705 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
637 706 STATE="utd"
638 707 LIBRARY="gaisler"
639 708 ENDFILE
640 709 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
641 710 STATE="utd"
642 711 LIBRARY="gaisler"
643 712 ENDFILE
644 713 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
645 714 STATE="utd"
646 715 LIBRARY="gaisler"
647 716 ENDFILE
648 717 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
649 718 STATE="utd"
650 719 LIBRARY="gaisler"
651 720 ENDFILE
652 721 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
653 722 STATE="utd"
654 723 LIBRARY="gaisler"
655 724 ENDFILE
656 725 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
657 726 STATE="utd"
658 727 LIBRARY="gaisler"
659 728 ENDFILE
660 729 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
661 730 STATE="utd"
662 731 LIBRARY="gaisler"
663 732 ENDFILE
664 733 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
665 734 STATE="utd"
666 735 LIBRARY="gaisler"
667 736 ENDFILE
668 737 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
669 738 STATE="utd"
670 739 LIBRARY="gaisler"
671 740 ENDFILE
672 741 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
673 742 STATE="utd"
674 743 LIBRARY="gaisler"
675 744 ENDFILE
676 745 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
677 746 STATE="utd"
678 747 LIBRARY="gaisler"
679 748 ENDFILE
680 749 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
681 750 STATE="utd"
682 751 LIBRARY="gaisler"
683 752 ENDFILE
684 753 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
685 754 STATE="utd"
686 755 LIBRARY="gaisler"
687 756 ENDFILE
688 757 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
689 758 STATE="utd"
690 759 LIBRARY="gaisler"
691 760 ENDFILE
692 761 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
693 762 STATE="utd"
694 763 LIBRARY="gaisler"
695 764 ENDFILE
696 765 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
697 766 STATE="utd"
698 767 LIBRARY="gaisler"
699 768 ENDFILE
700 769 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
701 770 STATE="utd"
702 771 LIBRARY="gaisler"
703 772 ENDFILE
704 773 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
705 774 STATE="utd"
706 775 LIBRARY="gaisler"
707 776 ENDFILE
708 777 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
709 778 STATE="utd"
710 779 LIBRARY="gaisler"
711 780 ENDFILE
712 781 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
713 782 STATE="utd"
714 783 LIBRARY="gaisler"
715 784 ENDFILE
716 785 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
717 786 STATE="utd"
718 787 LIBRARY="gaisler"
719 788 ENDFILE
720 789 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
721 790 STATE="utd"
722 791 LIBRARY="gaisler"
723 792 ENDFILE
724 793 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
725 794 STATE="utd"
726 795 LIBRARY="gaisler"
727 796 ENDFILE
728 797 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
729 798 STATE="utd"
730 799 LIBRARY="gaisler"
731 800 ENDFILE
732 801 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
733 802 STATE="utd"
734 803 LIBRARY="gaisler"
735 804 ENDFILE
736 805 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
737 806 STATE="utd"
738 807 LIBRARY="gaisler"
739 808 ENDFILE
740 809 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
741 810 STATE="utd"
742 811 LIBRARY="gaisler"
743 812 ENDFILE
744 813 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
745 814 STATE="utd"
746 815 LIBRARY="gaisler"
747 816 ENDFILE
748 817 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
749 818 STATE="utd"
750 819 LIBRARY="gaisler"
751 820 ENDFILE
752 821 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
753 822 STATE="utd"
754 823 LIBRARY="gaisler"
755 824 ENDFILE
756 825 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
757 826 STATE="utd"
758 827 LIBRARY="gaisler"
759 828 ENDFILE
760 829 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
761 830 STATE="utd"
762 831 LIBRARY="gaisler"
763 832 ENDFILE
764 833 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
765 834 STATE="utd"
766 835 LIBRARY="gaisler"
767 836 ENDFILE
768 837 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
769 838 STATE="utd"
770 839 LIBRARY="gaisler"
771 840 ENDFILE
772 841 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
773 842 STATE="utd"
774 843 LIBRARY="gaisler"
775 844 ENDFILE
776 845 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
777 846 STATE="utd"
778 847 LIBRARY="gaisler"
779 848 ENDFILE
780 849 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
781 850 STATE="utd"
782 851 LIBRARY="gaisler"
783 852 ENDFILE
784 853 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
785 854 STATE="utd"
786 855 LIBRARY="gaisler"
787 856 ENDFILE
788 857 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
789 858 STATE="utd"
790 859 LIBRARY="gaisler"
791 860 ENDFILE
792 861 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
793 862 STATE="utd"
794 863 LIBRARY="gaisler"
795 864 ENDFILE
796 865 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
797 866 STATE="utd"
798 867 LIBRARY="gaisler"
799 868 ENDFILE
800 869 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
801 870 STATE="utd"
802 871 LIBRARY="gaisler"
803 872 ENDFILE
804 873 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
805 874 STATE="utd"
806 875 LIBRARY="gaisler"
807 876 ENDFILE
808 877 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
809 878 STATE="utd"
810 879 LIBRARY="gaisler"
811 880 ENDFILE
812 881 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
813 882 STATE="utd"
814 883 LIBRARY="gaisler"
815 884 ENDFILE
816 885 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
817 886 STATE="utd"
818 887 LIBRARY="gaisler"
819 888 ENDFILE
820 889 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
821 890 STATE="utd"
822 891 LIBRARY="gaisler"
823 892 ENDFILE
824 893 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
825 894 STATE="utd"
826 895 LIBRARY="gaisler"
827 896 ENDFILE
828 897 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
829 898 STATE="utd"
830 899 LIBRARY="gaisler"
831 900 ENDFILE
832 901 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
833 902 STATE="utd"
834 903 LIBRARY="gaisler"
835 904 ENDFILE
836 905 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
837 906 STATE="utd"
838 907 LIBRARY="gaisler"
839 908 ENDFILE
840 909 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
841 910 STATE="utd"
842 911 LIBRARY="gaisler"
843 912 ENDFILE
844 913 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
845 914 STATE="utd"
846 915 LIBRARY="gaisler"
847 916 ENDFILE
848 917 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
849 918 STATE="utd"
850 919 LIBRARY="gaisler"
851 920 ENDFILE
852 921 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
853 922 STATE="utd"
854 923 LIBRARY="gaisler"
855 924 ENDFILE
856 925 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
857 926 STATE="utd"
858 927 LIBRARY="gaisler"
859 928 ENDFILE
860 929 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
861 930 STATE="utd"
862 931 LIBRARY="gaisler"
863 932 ENDFILE
864 933 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
865 934 STATE="utd"
866 935 LIBRARY="gaisler"
867 936 ENDFILE
868 937 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
869 938 STATE="utd"
870 939 LIBRARY="gaisler"
871 940 ENDFILE
872 941 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
873 942 STATE="utd"
874 943 LIBRARY="gaisler"
875 944 ENDFILE
876 945 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
877 946 STATE="utd"
878 947 LIBRARY="gaisler"
879 948 ENDFILE
880 949 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
881 950 STATE="utd"
882 951 LIBRARY="gaisler"
883 952 ENDFILE
884 953 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
885 954 STATE="utd"
886 955 LIBRARY="gaisler"
887 956 ENDFILE
888 957 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
889 958 STATE="utd"
890 959 LIBRARY="gaisler"
891 960 ENDFILE
892 961 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
893 962 STATE="utd"
894 963 LIBRARY="gaisler"
895 964 ENDFILE
896 965 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
897 966 STATE="utd"
898 967 LIBRARY="gaisler"
899 968 ENDFILE
900 969 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
901 970 STATE="utd"
902 971 LIBRARY="gaisler"
903 972 ENDFILE
904 973 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
905 974 STATE="utd"
906 975 LIBRARY="gaisler"
907 976 ENDFILE
908 977 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
909 978 STATE="utd"
910 979 LIBRARY="gaisler"
911 980 ENDFILE
912 981 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
913 982 STATE="utd"
914 983 LIBRARY="gaisler"
915 984 ENDFILE
916 985 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
917 986 STATE="utd"
918 987 LIBRARY="gaisler"
919 988 ENDFILE
920 989 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
921 990 STATE="utd"
922 991 LIBRARY="gaisler"
923 992 ENDFILE
924 993 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
925 994 STATE="utd"
926 995 LIBRARY="gaisler"
927 996 ENDFILE
928 997 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
929 998 STATE="utd"
930 999 LIBRARY="gaisler"
931 1000 ENDFILE
932 1001 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
933 1002 STATE="utd"
934 1003 LIBRARY="gaisler"
935 1004 ENDFILE
936 1005 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
937 1006 STATE="utd"
938 1007 LIBRARY="gaisler"
939 1008 ENDFILE
940 1009 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
941 1010 STATE="utd"
942 1011 LIBRARY="gaisler"
943 1012 ENDFILE
944 1013 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
945 1014 STATE="utd"
946 1015 LIBRARY="gaisler"
947 1016 ENDFILE
948 1017 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
949 1018 STATE="utd"
950 1019 LIBRARY="gaisler"
951 1020 ENDFILE
952 1021 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
953 1022 STATE="utd"
954 1023 LIBRARY="gaisler"
955 1024 ENDFILE
956 1025 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
957 1026 STATE="utd"
958 1027 LIBRARY="esa"
959 1028 ENDFILE
960 1029 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
961 1030 STATE="utd"
962 1031 LIBRARY="esa"
963 1032 ENDFILE
964 1033 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
965 1034 STATE="utd"
966 1035 LIBRARY="fmf"
967 1036 ENDFILE
968 1037 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
969 1038 STATE="utd"
970 1039 LIBRARY="fmf"
971 1040 ENDFILE
972 1041 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
973 1042 STATE="utd"
974 1043 LIBRARY="fmf"
975 1044 ENDFILE
976 1045 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
977 1046 STATE="utd"
978 1047 LIBRARY="fmf"
979 1048 ENDFILE
980 1049 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
981 1050 STATE="utd"
982 1051 LIBRARY="fmf"
983 1052 ENDFILE
984 1053 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
985 1054 STATE="utd"
986 1055 LIBRARY="fmf"
987 1056 ENDFILE
988 1057 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
989 1058 STATE="utd"
990 1059 LIBRARY="gsi"
991 1060 ENDFILE
992 1061 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
993 1062 STATE="utd"
994 1063 LIBRARY="gsi"
995 1064 ENDFILE
996 1065 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
997 1066 STATE="utd"
998 1067 LIBRARY="gsi"
999 1068 ENDFILE
1000 1069 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1001 1070 STATE="utd"
1002 1071 LIBRARY="lpp"
1003 1072 ENDFILE
1004 1073 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1005 1074 STATE="utd"
1006 1075 LIBRARY="lpp"
1007 1076 ENDFILE
1008 1077 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1009 1078 STATE="utd"
1010 1079 LIBRARY="lpp"
1011 1080 ENDFILE
1012 1081 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1013 1082 STATE="utd"
1014 1083 LIBRARY="lpp"
1015 1084 ENDFILE
1016 1085 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1017 1086 STATE="utd"
1018 1087 LIBRARY="lpp"
1019 1088 ENDFILE
1020 1089 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1021 1090 STATE="utd"
1022 1091 LIBRARY="lpp"
1023 1092 ENDFILE
1024 1093 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1025 1094 STATE="utd"
1026 1095 LIBRARY="lpp"
1027 1096 ENDFILE
1028 1097 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1029 1098 STATE="utd"
1030 1099 LIBRARY="lpp"
1031 1100 ENDFILE
1032 1101 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1033 1102 STATE="utd"
1034 1103 LIBRARY="lpp"
1035 1104 ENDFILE
1036 1105 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1037 1106 STATE="utd"
1038 1107 LIBRARY="lpp"
1039 1108 ENDFILE
1040 1109 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1041 1110 STATE="utd"
1042 1111 LIBRARY="lpp"
1043 1112 ENDFILE
1044 1113 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1045 1114 STATE="utd"
1046 1115 LIBRARY="lpp"
1047 1116 ENDFILE
1048 1117 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1049 1118 STATE="utd"
1050 1119 LIBRARY="lpp"
1051 1120 ENDFILE
1052 1121 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1053 1122 STATE="utd"
1054 1123 LIBRARY="lpp"
1055 1124 ENDFILE
1056 1125 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1057 1126 STATE="utd"
1058 1127 LIBRARY="lpp"
1059 1128 ENDFILE
1060 1129 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1061 1130 STATE="utd"
1062 1131 LIBRARY="lpp"
1063 1132 ENDFILE
1064 1133 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1065 1134 STATE="utd"
1066 1135 LIBRARY="lpp"
1067 1136 ENDFILE
1068 1137 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1069 1138 STATE="utd"
1070 1139 LIBRARY="lpp"
1071 1140 ENDFILE
1072 1141 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1073 1142 STATE="utd"
1074 1143 LIBRARY="lpp"
1075 1144 ENDFILE
1076 1145 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1077 1146 STATE="utd"
1078 1147 LIBRARY="lpp"
1079 1148 ENDFILE
1080 1149 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1081 1150 STATE="utd"
1082 1151 LIBRARY="lpp"
1083 1152 ENDFILE
1084 1153 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1085 1154 STATE="utd"
1086 1155 LIBRARY="lpp"
1087 1156 ENDFILE
1157 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1158 STATE="utd"
1159 LIBRARY="lpp"
1160 ENDFILE
1088 1161 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1089 1162 STATE="utd"
1090 1163 LIBRARY="lpp"
1091 1164 ENDFILE
1092 1165 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1093 1166 STATE="utd"
1094 1167 LIBRARY="lpp"
1095 1168 ENDFILE
1096 1169 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1097 1170 STATE="utd"
1098 1171 LIBRARY="lpp"
1099 1172 ENDFILE
1100 1173 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1101 1174 STATE="utd"
1102 1175 LIBRARY="lpp"
1103 1176 ENDFILE
1104 1177 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1105 1178 STATE="utd"
1106 1179 LIBRARY="lpp"
1107 1180 ENDFILE
1108 1181 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1109 1182 STATE="utd"
1110 1183 LIBRARY="lpp"
1111 1184 ENDFILE
1112 1185 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1113 1186 STATE="utd"
1114 1187 LIBRARY="lpp"
1115 1188 ENDFILE
1116 1189 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1117 1190 STATE="utd"
1118 1191 LIBRARY="lpp"
1119 1192 ENDFILE
1120 1193 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1121 1194 STATE="utd"
1122 1195 LIBRARY="lpp"
1123 1196 ENDFILE
1124 1197 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1125 1198 STATE="utd"
1126 1199 LIBRARY="lpp"
1127 1200 ENDFILE
1128 1201 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1129 1202 STATE="utd"
1130 1203 LIBRARY="lpp"
1131 1204 ENDFILE
1132 1205 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1133 1206 STATE="utd"
1134 1207 LIBRARY="lpp"
1135 1208 ENDFILE
1136 1209 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1137 1210 STATE="utd"
1138 1211 LIBRARY="lpp"
1139 1212 ENDFILE
1140 1213 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1141 1214 STATE="utd"
1142 1215 LIBRARY="lpp"
1143 1216 ENDFILE
1144 1217 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1145 1218 STATE="utd"
1146 1219 LIBRARY="lpp"
1147 1220 ENDFILE
1148 1221 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1149 1222 STATE="utd"
1150 1223 LIBRARY="lpp"
1151 1224 ENDFILE
1152 1225 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1153 1226 STATE="utd"
1154 1227 LIBRARY="lpp"
1155 1228 ENDFILE
1156 1229 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1157 1230 STATE="utd"
1158 1231 LIBRARY="lpp"
1159 1232 ENDFILE
1160 1233 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1161 1234 STATE="utd"
1162 1235 LIBRARY="lpp"
1163 1236 ENDFILE
1164 1237 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1165 1238 STATE="utd"
1166 1239 LIBRARY="lpp"
1167 1240 ENDFILE
1168 1241 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1169 1242 STATE="utd"
1170 1243 LIBRARY="lpp"
1171 1244 ENDFILE
1172 1245 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1173 1246 STATE="utd"
1174 1247 LIBRARY="lpp"
1175 1248 ENDFILE
1176 1249 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1177 1250 STATE="utd"
1178 1251 LIBRARY="lpp"
1179 1252 ENDFILE
1180 1253 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1181 1254 STATE="utd"
1182 1255 LIBRARY="lpp"
1183 1256 ENDFILE
1257 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
1258 STATE="utd"
1259 LIBRARY="lpp"
1260 ENDFILE
1261 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
1262 STATE="utd"
1263 LIBRARY="lpp"
1264 ENDFILE
1265 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
1266 STATE="utd"
1267 LIBRARY="lpp"
1268 ENDFILE
1269 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
1270 STATE="utd"
1271 LIBRARY="lpp"
1272 ENDFILE
1273 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
1274 STATE="utd"
1275 LIBRARY="lpp"
1276 ENDFILE
1277 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
1278 STATE="utd"
1279 LIBRARY="lpp"
1280 ENDFILE
1281 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
1282 STATE="utd"
1283 LIBRARY="lpp"
1284 ENDFILE
1285 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1286 STATE="utd"
1287 LIBRARY="lpp"
1288 ENDFILE
1184 1289 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1185 1290 STATE="utd"
1186 1291 LIBRARY="lpp"
1187 1292 ENDFILE
1188 1293 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1189 1294 STATE="utd"
1190 1295 LIBRARY="lpp"
1191 1296 ENDFILE
1192 1297 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1193 1298 STATE="utd"
1194 1299 LIBRARY="lpp"
1195 1300 ENDFILE
1196 1301 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1197 1302 STATE="utd"
1198 1303 LIBRARY="lpp"
1199 1304 ENDFILE
1200 1305 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1201 1306 STATE="utd"
1202 1307 LIBRARY="lpp"
1203 1308 ENDFILE
1204 1309 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1205 1310 STATE="utd"
1206 1311 LIBRARY="lpp"
1207 1312 ENDFILE
1208 1313 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1209 1314 STATE="utd"
1210 1315 LIBRARY="lpp"
1211 1316 ENDFILE
1317 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
1318 STATE="utd"
1319 LIBRARY="lpp"
1320 ENDFILE
1321 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
1322 STATE="utd"
1323 LIBRARY="lpp"
1324 ENDFILE
1325 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
1326 STATE="utd"
1327 LIBRARY="lpp"
1328 ENDFILE
1329 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
1330 STATE="utd"
1331 LIBRARY="lpp"
1332 ENDFILE
1333 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
1334 STATE="utd"
1335 LIBRARY="lpp"
1336 ENDFILE
1337 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
1338 STATE="utd"
1339 LIBRARY="lpp"
1340 ENDFILE
1341 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
1342 STATE="utd"
1343 LIBRARY="lpp"
1344 ENDFILE
1345 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
1346 STATE="utd"
1347 LIBRARY="lpp"
1348 ENDFILE
1349 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
1350 STATE="utd"
1351 LIBRARY="lpp"
1352 ENDFILE
1353 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
1354 STATE="utd"
1355 LIBRARY="lpp"
1356 ENDFILE
1357 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
1358 STATE="utd"
1359 LIBRARY="lpp"
1360 ENDFILE
1361 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1362 STATE="utd"
1363 LIBRARY="lpp"
1364 ENDFILE
1212 1365 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1213 1366 STATE="utd"
1214 1367 LIBRARY="lpp"
1215 1368 ENDFILE
1216 1369 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1217 1370 STATE="utd"
1218 1371 LIBRARY="lpp"
1219 1372 ENDFILE
1220 1373 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1221 1374 STATE="utd"
1222 1375 LIBRARY="lpp"
1223 1376 ENDFILE
1224 1377 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1225 1378 STATE="utd"
1226 1379 LIBRARY="lpp"
1227 1380 ENDFILE
1228 1381 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1229 1382 STATE="utd"
1230 1383 LIBRARY="lpp"
1231 1384 ENDFILE
1232 1385 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1233 1386 STATE="utd"
1234 1387 LIBRARY="lpp"
1235 1388 ENDFILE
1236 1389 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1237 1390 STATE="utd"
1238 1391 LIBRARY="lpp"
1239 1392 ENDFILE
1240 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1393 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1241 1394 STATE="utd"
1242 1395 LIBRARY="lpp"
1243 1396 ENDFILE
1244 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1245 STATE="utd"
1246 LIBRARY="lpp"
1247 ENDFILE
1248 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1397 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1249 1398 STATE="utd"
1250 1399 LIBRARY="lpp"
1251 1400 ENDFILE
1252 1401 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1253 1402 STATE="utd"
1254 1403 LIBRARY="lpp"
1255 1404 ENDFILE
1256 1405 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1257 1406 STATE="utd"
1258 1407 LIBRARY="lpp"
1259 1408 ENDFILE
1260 1409 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1261 1410 STATE="utd"
1262 1411 LIBRARY="lpp"
1263 1412 ENDFILE
1264 1413 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1265 1414 STATE="utd"
1266 1415 LIBRARY="lpp"
1267 1416 ENDFILE
1268 1417 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1269 1418 STATE="utd"
1270 1419 LIBRARY="lpp"
1271 1420 ENDFILE
1272 1421 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1273 1422 STATE="utd"
1274 1423 LIBRARY="lpp"
1275 1424 ENDFILE
1425 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
1426 STATE="utd"
1427 LIBRARY="lpp"
1428 ENDFILE
1429 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1430 STATE="utd"
1431 LIBRARY="lpp"
1432 ENDFILE
1276 1433 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1277 1434 STATE="utd"
1278 1435 LIBRARY="cypress"
1279 1436 ENDFILE
1280 1437 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1281 1438 STATE="utd"
1282 1439 LIBRARY="cypress"
1283 1440 ENDFILE
1284 1441 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1285 1442 STATE="utd"
1286 1443 LIBRARY="cypress"
1287 1444 ENDFILE
1288 1445 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1289 1446 STATE="utd"
1290 1447 LIBRARY="cypress"
1291 1448 ENDFILE
1292 1449 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1293 1450 STATE="utd"
1294 1451 LIBRARY="work"
1295 1452 ENDFILE
1296 1453 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1297 1454 STATE="utd"
1298 1455 LIBRARY="work"
1299 1456 ENDFILE
1300 1457 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1301 1458 STATE="utd"
1302 1459 LIBRARY="work"
1303 1460 ENDFILE
1304 1461 VALUE "<project>/config.vhd,hdl"
1305 1462 STATE="utd"
1306 1463 LIBRARY="work"
1307 1464 ENDFILE
1308 1465 VALUE "<project>/ahbrom.vhd,hdl"
1309 1466 STATE="utd"
1310 1467 LIBRARY="work"
1311 1468 ENDFILE
1312 1469 VALUE "<project>/leon3mp.vhd,hdl"
1313 1470 STATE="utd"
1314 1471 LIBRARY="work"
1315 1472 ENDFILE
1316 1473 VALUE "<project>/../../boards/LFR-142200-DM/LFR-142200-DM-MINIAMBA.pdc,pdc"
1317 1474 STATE="utd"
1318 1475 ENDFILE
1319 1476 ENDLIST
1320 1477 LIST SimulationOptions
1321 1478 ENDLIST
1322 1479 LIST ExcludePackageForSimulation
1323 1480 LIST top
1324 1481 ENDLIST
1325 1482 ENDLIST
1326 1483 LIST ExcludePackageForSynthesis
1327 1484 LIST top
1328 1485 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1329 1486 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1330 1487 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1331 1488 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1332 1489 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1333 1490 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1334 1491 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1492 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
1335 1493 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1336 1494 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1337 1495 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
1338 1496 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
1339 1497 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1340 1498 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
1341 1499 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
1342 1500 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
1343 1501 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
1344 1502 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
1345 1503 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1346 1504 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
1347 1505 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
1348 1506 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
1349 1507 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
1350 1508 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
1351 1509 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
1352 1510 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1353 1511 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1354 1512 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1355 1513 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1356 1514 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1357 1515 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1358 1516 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1359 1517 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1360 1518 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1361 1519 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1362 1520 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1363 1521 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1364 1522 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1365 1523 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1366 1524 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1367 1525 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1368 1526 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1369 1527 VALUE "<project>/config.vhd,hdl"
1370 1528 VALUE "<project>/ahbrom.vhd,hdl"
1371 1529 VALUE "<project>/leon3mp.vhd,hdl"
1372 1530 VALUE "<project>/testbench.vhd,tb_hdl"
1373 1531 ENDLIST
1374 1532 ENDLIST
1375 1533 LIST IncludeModuleForSimulation
1376 1534 ENDLIST
1377 1535 LIST UserCustomizedFileList
1378 1536 LIST "top"
1379 1537 LIST "ideSYNTHESIS"
1380 1538 USE_LIST=TRUE
1381 1539 FILELIST
1382 1540 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1383 1541 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1384 1542 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1385 1543 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1386 1544 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1387 1545 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1388 1546 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1389 1547 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1390 1548 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1391 1549 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1392 1550 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1393 1551 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1394 1552 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1395 1553 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1396 1554 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1397 1555 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1556 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
1398 1557 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1399 1558 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1400 1559 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1560 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
1561 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
1562 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
1563 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
1564 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
1565 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
1566 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
1567 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
1568 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
1569 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
1570 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
1571 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
1572 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
1573 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
1401 1574 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1402 1575 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1403 1576 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1404 1577 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1405 1578 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1406 1579 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1407 1580 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1408 1581 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1409 1582 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1410 1583 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1411 1584 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1412 1585 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1413 1586 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1414 1587 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1415 1588 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1416 1589 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1417 1590 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1418 1591 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1419 1592 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1420 1593 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1421 1594 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1422 1595 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1423 1596 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1424 1597 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1425 1598 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1426 1599 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1427 1600 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1428 1601 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1429 1602 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1430 1603 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1431 1604 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1432 1605 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1433 1606 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1434 1607 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1435 1608 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1436 1609 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1437 1610 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1438 1611 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1439 1612 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1440 1613 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1441 1614 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1442 1615 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1443 1616 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1444 1617 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1445 1618 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1446 1619 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1447 1620 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1448 1621 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1449 1622 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1450 1623 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1451 1624 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1452 1625 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1453 1626 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1454 1627 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1455 1628 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1456 1629 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1457 1630 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1458 1631 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1459 1632 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1460 1633 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1461 1634 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1462 1635 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1463 1636 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1464 1637 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1465 1638 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1466 1639 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1467 1640 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1468 1641 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1469 1642 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1470 1643 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1471 1644 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1472 1645 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1473 1646 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1474 1647 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1475 1648 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1476 1649 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1477 1650 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1478 1651 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1479 1652 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1480 1653 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1481 1654 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1482 1655 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1483 1656 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1484 1657 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1485 1658 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1486 1659 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1487 1660 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1488 1661 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1489 1662 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1490 1663 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1491 1664 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1492 1665 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1493 1666 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1494 1667 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1495 1668 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1496 1669 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1497 1670 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1498 1671 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1499 1672 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1500 1673 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1501 1674 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1502 1675 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1503 1676 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1504 1677 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1505 1678 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1506 1679 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1507 1680 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1508 1681 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1509 1682 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1510 1683 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1511 1684 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1512 1685 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1513 1686 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1514 1687 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
1515 1688 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
1516 1689 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
1517 1690 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
1518 1691 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
1519 1692 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
1520 1693 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
1521 1694 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
1522 1695 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
1523 1696 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
1524 1697 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
1525 1698 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
1526 1699 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1527 1700 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
1528 1701 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
1529 1702 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1530 1703 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
1531 1704 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1532 1705 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
1533 1706 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
1534 1707 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1535 1708 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
1536 1709 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
1537 1710 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1538 1711 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
1539 1712 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
1540 1713 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
1541 1714 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1542 1715 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
1543 1716 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1544 1717 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
1545 1718 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
1546 1719 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
1547 1720 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
1548 1721 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
1549 1722 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
1550 1723 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
1551 1724 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
1552 1725 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
1553 1726 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
1554 1727 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1555 1728 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1556 1729 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
1557 1730 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1558 1731 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1559 1732 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1560 1733 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1561 1734 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
1562 1735 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
1563 1736 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1564 1737 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
1565 1738 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
1566 1739 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1567 1740 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
1568 1741 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1569 1742 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1570 1743 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1571 1744 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1572 1745 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1573 1746 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1574 1747 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1575 1748 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1576 1749 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1577 1750 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1578 1751 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1579 1752 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1580 1753 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1581 1754 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1582 1755 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1583 1756 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1584 1757 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1585 1758 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1586 1759 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1587 1760 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1588 1761 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1589 1762 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1590 1763 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1591 1764 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1592 1765 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1593 1766 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1594 1767 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1595 1768 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1596 1769 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1597 1770 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
1771 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1598 1772 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1599 1773 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1600 1774 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1601 1775 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1602 1776 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1603 1777 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1604 1778 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1605 1779 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1606 1780 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1607 1781 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1608 1782 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1609 1783 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1610 1784 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1611 1785 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1612 1786 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1613 1787 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1614 1788 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1615 1789 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1616 1790 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1617 1791 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1618 1792 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1619 1793 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1620 1794 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1621 1795 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
1796 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
1797 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
1798 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
1799 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
1800 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
1801 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
1802 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
1803 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1622 1804 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1623 1805 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1624 1806 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1625 1807 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1626 1808 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1627 1809 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1628 1810 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
1811 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
1812 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
1813 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
1814 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
1815 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
1816 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
1817 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
1818 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
1819 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
1820 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
1821 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
1822 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1629 1823 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1630 1824 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1631 1825 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1632 1826 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1633 1827 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1634 1828 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1635 1829 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
1830 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1636 1831 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1637 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1638 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1639 1832 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1640 1833 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1641 1834 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1642 1835 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1643 1836 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1644 1837 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
1838 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
1839 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1645 1840 VALUE "<project>/config.vhd,hdl"
1646 1841 VALUE "<project>/ahbrom.vhd,hdl"
1647 1842 VALUE "<project>/leon3mp.vhd,hdl"
1648 1843 ENDFILELIST
1649 1844 ENDLIST
1650 1845 LIST "ideSIMULATION"
1651 1846 USE_LIST=TRUE
1652 1847 FILELIST
1653 1848 VALUE "<project>/../../lib/grlib/stdlib/version.vhd,hdl"
1654 1849 VALUE "<project>/../../lib/grlib/stdlib/config.vhd,hdl"
1655 1850 VALUE "<project>/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1656 1851 VALUE "<project>/../../lib/grlib/stdlib/stdio.vhd,hdl"
1657 1852 VALUE "<project>/../../lib/grlib/stdlib/testlib.vhd,hdl"
1658 1853 VALUE "<project>/../../lib/grlib/util/util.vhd,hdl"
1659 1854 VALUE "<project>/../../lib/grlib/sparc/sparc.vhd,hdl"
1660 1855 VALUE "<project>/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1661 1856 VALUE "<project>/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1662 1857 VALUE "<project>/../../lib/grlib/modgen/multlib.vhd,hdl"
1663 1858 VALUE "<project>/../../lib/grlib/modgen/leaves.vhd,hdl"
1664 1859 VALUE "<project>/../../lib/grlib/amba/amba.vhd,hdl"
1665 1860 VALUE "<project>/../../lib/grlib/amba/devices.vhd,hdl"
1666 1861 VALUE "<project>/../../lib/grlib/amba/defmst.vhd,hdl"
1667 1862 VALUE "<project>/../../lib/grlib/amba/apbctrl.vhd,hdl"
1668 1863 VALUE "<project>/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1669 1864 VALUE "<project>/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1670 1865 VALUE "<project>/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1671 1866 VALUE "<project>/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1672 1867 VALUE "<project>/../../lib/grlib/amba/amba_tp.vhd,hdl"
1868 VALUE "<project>/../../lib/tech/dw02/comp/DW02_components.vhd,hdl"
1673 1869 VALUE "<project>/../../lib/synplify/sim/synplify.vhd,hdl"
1674 1870 VALUE "<project>/../../lib/synplify/sim/synattr.vhd,hdl"
1675 1871 VALUE "<project>/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1676 1872 VALUE "<project>/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1677 1873 VALUE "<project>/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1874 VALUE "<project>/../../lib/techmap/inferred/tap_inferred.vhd,hdl"
1678 1875 VALUE "<project>/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1679 1876 VALUE "<project>/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1680 1877 VALUE "<project>/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1878 VALUE "<project>/../../lib/techmap/dw02/mul_dw_gen.vhd,hdl"
1879 VALUE "<project>/../../lib/techmap/proasic3/a3pacomp.vhd,hdl"
1880 VALUE "<project>/../../lib/techmap/proasic3/memory_apa3.vhd,hdl"
1881 VALUE "<project>/../../lib/techmap/proasic3/buffer_apa3.vhd,hdl"
1882 VALUE "<project>/../../lib/techmap/proasic3/pads_apa3.vhd,hdl"
1883 VALUE "<project>/../../lib/techmap/proasic3/clkgen_proasic3.vhd,hdl"
1884 VALUE "<project>/../../lib/techmap/proasic3/ddr_proasic3.vhd,hdl"
1885 VALUE "<project>/../../lib/techmap/proasic3/tap_proasic3.vhd,hdl"
1886 VALUE "<project>/../../lib/techmap/proasic3/grspwc_proasic3.vhd,hdl"
1887 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3.vhd,hdl"
1888 VALUE "<project>/../../lib/techmap/proasic3/leon3ft_proasic3_8_4_v8.vhd,hdl"
1889 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3.vhd,hdl"
1890 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap0.vhd,hdl"
1891 VALUE "<project>/../../lib/techmap/proasic3/grspwc2_proasic3_16_16_rmap1.vhd,hdl"
1681 1892 VALUE "<project>/../../lib/techmap/maps/allclkgen.vhd,hdl"
1682 1893 VALUE "<project>/../../lib/techmap/maps/allddr.vhd,hdl"
1683 1894 VALUE "<project>/../../lib/techmap/maps/allmem.vhd,hdl"
1684 1895 VALUE "<project>/../../lib/techmap/maps/allmul.vhd,hdl"
1685 1896 VALUE "<project>/../../lib/techmap/maps/allpads.vhd,hdl"
1686 1897 VALUE "<project>/../../lib/techmap/maps/alltap.vhd,hdl"
1687 1898 VALUE "<project>/../../lib/techmap/maps/clkgen.vhd,hdl"
1688 1899 VALUE "<project>/../../lib/techmap/maps/clkmux.vhd,hdl"
1689 1900 VALUE "<project>/../../lib/techmap/maps/clkand.vhd,hdl"
1690 1901 VALUE "<project>/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1691 1902 VALUE "<project>/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1692 1903 VALUE "<project>/../../lib/techmap/maps/ddrphy.vhd,hdl"
1693 1904 VALUE "<project>/../../lib/techmap/maps/syncram.vhd,hdl"
1694 1905 VALUE "<project>/../../lib/techmap/maps/syncram64.vhd,hdl"
1695 1906 VALUE "<project>/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1696 1907 VALUE "<project>/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1697 1908 VALUE "<project>/../../lib/techmap/maps/syncfifo.vhd,hdl"
1698 1909 VALUE "<project>/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1699 1910 VALUE "<project>/../../lib/techmap/maps/tap.vhd,hdl"
1700 1911 VALUE "<project>/../../lib/techmap/maps/techbuf.vhd,hdl"
1701 1912 VALUE "<project>/../../lib/techmap/maps/nandtree.vhd,hdl"
1702 1913 VALUE "<project>/../../lib/techmap/maps/clkpad.vhd,hdl"
1703 1914 VALUE "<project>/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1704 1915 VALUE "<project>/../../lib/techmap/maps/inpad.vhd,hdl"
1705 1916 VALUE "<project>/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1706 1917 VALUE "<project>/../../lib/techmap/maps/iodpad.vhd,hdl"
1707 1918 VALUE "<project>/../../lib/techmap/maps/iopad.vhd,hdl"
1708 1919 VALUE "<project>/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1709 1920 VALUE "<project>/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1710 1921 VALUE "<project>/../../lib/techmap/maps/odpad.vhd,hdl"
1711 1922 VALUE "<project>/../../lib/techmap/maps/outpad.vhd,hdl"
1712 1923 VALUE "<project>/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1713 1924 VALUE "<project>/../../lib/techmap/maps/toutpad.vhd,hdl"
1714 1925 VALUE "<project>/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1715 1926 VALUE "<project>/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1716 1927 VALUE "<project>/../../lib/techmap/maps/grspwc2_net.vhd,hdl"
1717 1928 VALUE "<project>/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1718 1929 VALUE "<project>/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1719 1930 VALUE "<project>/../../lib/techmap/maps/leon4_net.vhd,hdl"
1720 1931 VALUE "<project>/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1721 1932 VALUE "<project>/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1722 1933 VALUE "<project>/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1723 1934 VALUE "<project>/../../lib/techmap/maps/ringosc.vhd,hdl"
1724 1935 VALUE "<project>/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1725 1936 VALUE "<project>/../../lib/techmap/maps/system_monitor.vhd,hdl"
1726 1937 VALUE "<project>/../../lib/techmap/maps/grgates.vhd,hdl"
1727 1938 VALUE "<project>/../../lib/techmap/maps/inpad_ddr.vhd,hdl"
1728 1939 VALUE "<project>/../../lib/techmap/maps/outpad_ddr.vhd,hdl"
1729 1940 VALUE "<project>/../../lib/techmap/maps/iopad_ddr.vhd,hdl"
1730 1941 VALUE "<project>/../../lib/techmap/maps/syncram128bw.vhd,hdl"
1731 1942 VALUE "<project>/../../lib/techmap/maps/syncram128.vhd,hdl"
1732 1943 VALUE "<project>/../../lib/techmap/maps/syncram156bw.vhd,hdl"
1733 1944 VALUE "<project>/../../lib/techmap/maps/techmult.vhd,hdl"
1734 1945 VALUE "<project>/../../lib/techmap/maps/spictrl_net.vhd,hdl"
1735 1946 VALUE "<project>/../../lib/techmap/maps/scanreg.vhd,hdl"
1736 1947 VALUE "<project>/../../lib/spw/comp/spwcomp.vhd,hdl"
1737 1948 VALUE "<project>/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1738 1949 VALUE "<project>/../../lib/spw/wrapper/grspw2_gen.vhd,hdl"
1739 1950 VALUE "<project>/../../lib/eth/comp/ethcomp.vhd,hdl"
1740 1951 VALUE "<project>/../../lib/eth/core/greth_pkg.vhd,hdl"
1741 1952 VALUE "<project>/../../lib/eth/core/eth_rstgen.vhd,hdl"
1742 1953 VALUE "<project>/../../lib/eth/core/eth_edcl_ahb_mst.vhd,hdl"
1743 1954 VALUE "<project>/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1744 1955 VALUE "<project>/../../lib/eth/core/greth_tx.vhd,hdl"
1745 1956 VALUE "<project>/../../lib/eth/core/greth_rx.vhd,hdl"
1746 1957 VALUE "<project>/../../lib/eth/core/grethc.vhd,hdl"
1747 1958 VALUE "<project>/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1748 1959 VALUE "<project>/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1749 1960 VALUE "<project>/../../lib/opencores/occomp/occomp.vhd,hdl"
1750 1961 VALUE "<project>/../../lib/opencores/can/cancomp.vhd,hdl"
1751 1962 VALUE "<project>/../../lib/opencores/can/can_top.vhd,hdl"
1752 1963 VALUE "<project>/../../lib/gaisler/arith/arith.vhd,hdl"
1753 1964 VALUE "<project>/../../lib/gaisler/arith/mul32.vhd,hdl"
1754 1965 VALUE "<project>/../../lib/gaisler/arith/div32.vhd,hdl"
1755 1966 VALUE "<project>/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1756 1967 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1757 1968 VALUE "<project>/../../lib/gaisler/memctrl/sdctrl64.vhd,hdl"
1758 1969 VALUE "<project>/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1759 1970 VALUE "<project>/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1760 1971 VALUE "<project>/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1761 1972 VALUE "<project>/../../lib/gaisler/leon3/leon3.vhd,hdl"
1762 1973 VALUE "<project>/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1763 1974 VALUE "<project>/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1764 1975 VALUE "<project>/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1765 1976 VALUE "<project>/../../lib/gaisler/leon3/libiu.vhd,hdl"
1766 1977 VALUE "<project>/../../lib/gaisler/leon3/libcache.vhd,hdl"
1767 1978 VALUE "<project>/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1768 1979 VALUE "<project>/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1769 1980 VALUE "<project>/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1770 1981 VALUE "<project>/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1771 1982 VALUE "<project>/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1772 1983 VALUE "<project>/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1773 1984 VALUE "<project>/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1774 1985 VALUE "<project>/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1775 1986 VALUE "<project>/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1776 1987 VALUE "<project>/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1777 1988 VALUE "<project>/../../lib/gaisler/leon3/mmu.vhd,hdl"
1778 1989 VALUE "<project>/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1779 1990 VALUE "<project>/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1780 1991 VALUE "<project>/../../lib/gaisler/leon3/iu3.vhd,hdl"
1781 1992 VALUE "<project>/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1782 1993 VALUE "<project>/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1783 1994 VALUE "<project>/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1784 1995 VALUE "<project>/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1785 1996 VALUE "<project>/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1786 1997 VALUE "<project>/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1787 1998 VALUE "<project>/../../lib/gaisler/leon3/proc3.vhd,hdl"
1788 1999 VALUE "<project>/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1789 2000 VALUE "<project>/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1790 2001 VALUE "<project>/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1791 2002 VALUE "<project>/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1792 2003 VALUE "<project>/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1793 2004 VALUE "<project>/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1794 2005 VALUE "<project>/../../lib/gaisler/leon3ft/leon3ft.vhd,hdl"
1795 2006 VALUE "<project>/../../lib/gaisler/can/can.vhd,hdl"
1796 2007 VALUE "<project>/../../lib/gaisler/can/can_mod.vhd,hdl"
1797 2008 VALUE "<project>/../../lib/gaisler/can/can_oc.vhd,hdl"
1798 2009 VALUE "<project>/../../lib/gaisler/can/can_mc.vhd,hdl"
1799 2010 VALUE "<project>/../../lib/gaisler/can/canmux.vhd,hdl"
1800 2011 VALUE "<project>/../../lib/gaisler/can/can_rd.vhd,hdl"
1801 2012 VALUE "<project>/../../lib/gaisler/misc/misc.vhd,hdl"
1802 2013 VALUE "<project>/../../lib/gaisler/misc/rstgen.vhd,hdl"
1803 2014 VALUE "<project>/../../lib/gaisler/misc/gptimer.vhd,hdl"
1804 2015 VALUE "<project>/../../lib/gaisler/misc/ahbram.vhd,hdl"
1805 2016 VALUE "<project>/../../lib/gaisler/misc/ahbdpram.vhd,hdl"
1806 2017 VALUE "<project>/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1807 2018 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mb.vhd,hdl"
1808 2019 VALUE "<project>/../../lib/gaisler/misc/ahbtrace_mmb.vhd,hdl"
1809 2020 VALUE "<project>/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1810 2021 VALUE "<project>/../../lib/gaisler/misc/grgpio.vhd,hdl"
1811 2022 VALUE "<project>/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1812 2023 VALUE "<project>/../../lib/gaisler/misc/logan.vhd,hdl"
1813 2024 VALUE "<project>/../../lib/gaisler/misc/apbps2.vhd,hdl"
1814 2025 VALUE "<project>/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1815 2026 VALUE "<project>/../../lib/gaisler/misc/charrom.vhd,hdl"
1816 2027 VALUE "<project>/../../lib/gaisler/misc/apbvga.vhd,hdl"
1817 2028 VALUE "<project>/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1818 2029 VALUE "<project>/../../lib/gaisler/misc/i2cmst_gen.vhd,hdl"
1819 2030 VALUE "<project>/../../lib/gaisler/misc/spictrlx.vhd,hdl"
1820 2031 VALUE "<project>/../../lib/gaisler/misc/spictrl.vhd,hdl"
1821 2032 VALUE "<project>/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1822 2033 VALUE "<project>/../../lib/gaisler/misc/wild.vhd,hdl"
1823 2034 VALUE "<project>/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1824 2035 VALUE "<project>/../../lib/gaisler/misc/grsysmon.vhd,hdl"
1825 2036 VALUE "<project>/../../lib/gaisler/misc/gracectrl.vhd,hdl"
1826 2037 VALUE "<project>/../../lib/gaisler/misc/grgpreg.vhd,hdl"
1827 2038 VALUE "<project>/../../lib/gaisler/misc/ahbmst2.vhd,hdl"
1828 2039 VALUE "<project>/../../lib/gaisler/misc/ahb_mst_iface.vhd,hdl"
1829 2040 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbp.vhd,hdl"
1830 2041 VALUE "<project>/../../lib/gaisler/ambatest/ahbtbm.vhd,hdl"
1831 2042 VALUE "<project>/../../lib/gaisler/net/net.vhd,hdl"
1832 2043 VALUE "<project>/../../lib/gaisler/uart/uart.vhd,hdl"
1833 2044 VALUE "<project>/../../lib/gaisler/uart/libdcom.vhd,hdl"
1834 2045 VALUE "<project>/../../lib/gaisler/uart/apbuart.vhd,hdl"
1835 2046 VALUE "<project>/../../lib/gaisler/uart/dcom.vhd,hdl"
1836 2047 VALUE "<project>/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1837 2048 VALUE "<project>/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1838 2049 VALUE "<project>/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1839 2050 VALUE "<project>/../../lib/gaisler/sim/sim.vhd,hdl"
1840 2051 VALUE "<project>/../../lib/gaisler/sim/sram.vhd,hdl"
1841 2052 VALUE "<project>/../../lib/gaisler/sim/ata_device.vhd,hdl"
1842 2053 VALUE "<project>/../../lib/gaisler/sim/sram16.vhd,hdl"
1843 2054 VALUE "<project>/../../lib/gaisler/sim/phy.vhd,hdl"
1844 2055 VALUE "<project>/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1845 2056 VALUE "<project>/../../lib/gaisler/sim/delay_wire.vhd,hdl"
1846 2057 VALUE "<project>/../../lib/gaisler/sim/spi_flash.vhd,hdl"
1847 2058 VALUE "<project>/../../lib/gaisler/sim/pwm_check.vhd,hdl"
1848 2059 VALUE "<project>/../../lib/gaisler/sim/usbsim.vhd,hdl"
1849 2060 VALUE "<project>/../../lib/gaisler/sim/grusbdcsim.vhd,hdl"
1850 2061 VALUE "<project>/../../lib/gaisler/sim/grusb_dclsim.vhd,hdl"
1851 2062 VALUE "<project>/../../lib/gaisler/jtag/jtag.vhd,hdl"
1852 2063 VALUE "<project>/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1853 2064 VALUE "<project>/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1854 2065 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1855 2066 VALUE "<project>/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1856 2067 VALUE "<project>/../../lib/gaisler/jtag/bscanregs.vhd,hdl"
1857 2068 VALUE "<project>/../../lib/gaisler/jtag/bscanregsbd.vhd,hdl"
1858 2069 VALUE "<project>/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1859 2070 VALUE "<project>/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1860 2071 VALUE "<project>/../../lib/gaisler/greth/greth.vhd,hdl"
1861 2072 VALUE "<project>/../../lib/gaisler/greth/greth_mb.vhd,hdl"
1862 2073 VALUE "<project>/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1863 2074 VALUE "<project>/../../lib/gaisler/greth/greth_gbit_mb.vhd,hdl"
1864 2075 VALUE "<project>/../../lib/gaisler/greth/grethm.vhd,hdl"
1865 2076 VALUE "<project>/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1866 2077 VALUE "<project>/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1867 2078 VALUE "<project>/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1868 2079 VALUE "<project>/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1869 2080 VALUE "<project>/../../lib/gaisler/gr1553b/gr1553b_pkg.vhd,hdl"
1870 2081 VALUE "<project>/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1871 2082 VALUE "<project>/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1872 2083 VALUE "<project>/../../lib/fmf/utilities/conversions.vhd,hdl"
1873 2084 VALUE "<project>/../../lib/fmf/utilities/gen_utils.vhd,hdl"
1874 2085 VALUE "<project>/../../lib/fmf/flash/flash.vhd,hdl"
1875 2086 VALUE "<project>/../../lib/fmf/flash/s25fl064a.vhd,hdl"
1876 2087 VALUE "<project>/../../lib/fmf/flash/m25p80.vhd,hdl"
1877 2088 VALUE "<project>/../../lib/fmf/fifo/idt7202.vhd,hdl"
1878 2089 VALUE "<project>/../../lib/gsi/ssram/functions.vhd,hdl"
1879 2090 VALUE "<project>/../../lib/gsi/ssram/core_burst.vhd,hdl"
1880 2091 VALUE "<project>/../../lib/gsi/ssram/g880e18bt.vhd,hdl"
1881 2092 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd,hdl"
1882 2093 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd,hdl"
1883 2094 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd,hdl"
1884 2095 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd,hdl"
1885 2096 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd,hdl"
1886 2097 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd,hdl"
1887 2098 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd,hdl"
1888 2099 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd,hdl"
1889 2100 VALUE "<project>/../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd,hdl"
1890 2101 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd,hdl"
1891 2102 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER.vhd,hdl"
1892 2103 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd,hdl"
1893 2104 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd,hdl"
1894 2105 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd,hdl"
1895 2106 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd,hdl"
1896 2107 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd,hdl"
1897 2108 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM.vhd,hdl"
1898 2109 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd,hdl"
1899 2110 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd,hdl"
1900 2111 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd,hdl"
1901 2112 VALUE "<project>/../../lib/lpp/./dsp/iir_filter/iir_filter.vhd,hdl"
1902 2113 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/APB_FFT.vhd,hdl"
2114 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd,hdl"
1903 2115 VALUE "<project>/../../lib/lpp/./dsp/lpp_fft/lpp_fft.vhd,hdl"
1904 2116 VALUE "<project>/../../lib/lpp/./general_purpose/ADDRcntr.vhd,hdl"
1905 2117 VALUE "<project>/../../lib/lpp/./general_purpose/ALU.vhd,hdl"
1906 2118 VALUE "<project>/../../lib/lpp/./general_purpose/Adder.vhd,hdl"
1907 2119 VALUE "<project>/../../lib/lpp/./general_purpose/Clk_divider.vhd,hdl"
1908 2120 VALUE "<project>/../../lib/lpp/./general_purpose/MAC.vhd,hdl"
1909 2121 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd,hdl"
1910 2122 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX.vhd,hdl"
1911 2123 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_MUX2.vhd,hdl"
1912 2124 VALUE "<project>/../../lib/lpp/./general_purpose/MAC_REG.vhd,hdl"
1913 2125 VALUE "<project>/../../lib/lpp/./general_purpose/MUX2.vhd,hdl"
1914 2126 VALUE "<project>/../../lib/lpp/./general_purpose/Multiplier.vhd,hdl"
1915 2127 VALUE "<project>/../../lib/lpp/./general_purpose/REG.vhd,hdl"
1916 2128 VALUE "<project>/../../lib/lpp/./general_purpose/Shifter.vhd,hdl"
1917 2129 VALUE "<project>/../../lib/lpp/./general_purpose/general_purpose.vhd,hdl"
1918 2130 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd,hdl"
1919 2131 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd,hdl"
1920 2132 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd,hdl"
1921 2133 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd,hdl"
1922 2134 VALUE "<project>/../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd,hdl"
1923 2135 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd,hdl"
1924 2136 VALUE "<project>/../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd,hdl"
1925 2137 VALUE "<project>/../../lib/lpp/./lpp_amba/apb_devices_list.vhd,hdl"
1926 2138 VALUE "<project>/../../lib/lpp/./lpp_amba/lpp_amba.vhd,hdl"
2139 VALUE "<project>/../../lib/lpp/./lpp_AMR/APB_AMR.vhd,hdl"
2140 VALUE "<project>/../../lib/lpp/./lpp_AMR/Clock_multi.vhd,hdl"
2141 VALUE "<project>/../../lib/lpp/./lpp_AMR/Dephaseur.vhd,hdl"
2142 VALUE "<project>/../../lib/lpp/./lpp_AMR/Gene_Rz.vhd,hdl"
2143 VALUE "<project>/../../lib/lpp/./lpp_AMR/bclk_reg.vhd,hdl"
2144 VALUE "<project>/../../lib/lpp/./lpp_AMR/lpp_AMR.vhd,hdl"
2145 VALUE "<project>/../../lib/lpp/./lpp_balise/APB_Balise.vhd,hdl"
2146 VALUE "<project>/../../lib/lpp/./lpp_balise/lpp_balise.vhd,hdl"
1927 2147 VALUE "<project>/../../lib/lpp/./lpp_cna/APB_CNA.vhd,hdl"
1928 2148 VALUE "<project>/../../lib/lpp/./lpp_cna/CNA_TabloC.vhd,hdl"
1929 2149 VALUE "<project>/../../lib/lpp/./lpp_cna/Convertisseur_config.vhd,hdl"
1930 2150 VALUE "<project>/../../lib/lpp/./lpp_cna/Gene_SYNC.vhd,hdl"
1931 2151 VALUE "<project>/../../lib/lpp/./lpp_cna/Serialize.vhd,hdl"
1932 2152 VALUE "<project>/../../lib/lpp/./lpp_cna/Systeme_Clock.vhd,hdl"
1933 2153 VALUE "<project>/../../lib/lpp/./lpp_cna/lpp_cna.vhd,hdl"
2154 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_Driver.vhd,hdl"
2155 VALUE "<project>/../../lib/lpp/./lpp_matrix/ALU_v2.vhd,hdl"
2156 VALUE "<project>/../../lib/lpp/./lpp_matrix/APB_Matrix.vhd,hdl"
2157 VALUE "<project>/../../lib/lpp/./lpp_matrix/DriveInputs.vhd,hdl"
2158 VALUE "<project>/../../lib/lpp/./lpp_matrix/GetResult.vhd,hdl"
2159 VALUE "<project>/../../lib/lpp/./lpp_matrix/MAC_v2.vhd,hdl"
2160 VALUE "<project>/../../lib/lpp/./lpp_matrix/Matrix.vhd,hdl"
2161 VALUE "<project>/../../lib/lpp/./lpp_matrix/SelectInputs.vhd,hdl"
2162 VALUE "<project>/../../lib/lpp/./lpp_matrix/SpectralMatrix.vhd,hdl"
2163 VALUE "<project>/../../lib/lpp/./lpp_matrix/Starter.vhd,hdl"
2164 VALUE "<project>/../../lib/lpp/./lpp_matrix/TwoComplementer.vhd,hdl"
2165 VALUE "<project>/../../lib/lpp/./lpp_matrix/lpp_matrix.vhd,hdl"
1934 2166 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FIFO.vhd,hdl"
1935 2167 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoRead.vhd,hdl"
1936 2168 VALUE "<project>/../../lib/lpp/./lpp_memory/APB_FifoWrite.vhd,hdl"
1937 2169 VALUE "<project>/../../lib/lpp/./lpp_memory/ApbDriver.vhd,hdl"
1938 2170 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Read.vhd,hdl"
1939 2171 VALUE "<project>/../../lib/lpp/./lpp_memory/Fifo_Write.vhd,hdl"
1940 2172 VALUE "<project>/../../lib/lpp/./lpp_memory/Link_Reg.vhd,hdl"
2173 VALUE "<project>/../../lib/lpp/./lpp_memory/SSRAM_plugin.vhd,hdl"
1941 2174 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FIFO.vhd,hdl"
1942 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoRead.vhd,hdl"
1943 VALUE "<project>/../../lib/lpp/./lpp_memory/Top_FifoWrite.vhd,hdl"
1944 2175 VALUE "<project>/../../lib/lpp/./lpp_memory/lpp_memory.vhd,hdl"
1945 2176 VALUE "<project>/../../lib/lpp/./lpp_uart/APB_UART.vhd,hdl"
1946 2177 VALUE "<project>/../../lib/lpp/./lpp_uart/BaudGen.vhd,hdl"
1947 2178 VALUE "<project>/../../lib/lpp/./lpp_uart/Shift_REG.vhd,hdl"
1948 2179 VALUE "<project>/../../lib/lpp/./lpp_uart/UART.vhd,hdl"
1949 2180 VALUE "<project>/../../lib/lpp/./lpp_uart/lpp_uart.vhd,hdl"
2181 VALUE "<project>/../../lib/lpp/./lpp_usb/RWbuf.vhd,hdl"
2182 VALUE "<project>/../../lib/lpp/./lpp_usb/lpp_usb.vhd,hdl"
1950 2183 VALUE "<project>/../../lib/cypress/ssram/components.vhd,hdl"
1951 2184 VALUE "<project>/../../lib/cypress/ssram/package_utility.vhd,hdl"
1952 2185 VALUE "<project>/../../lib/cypress/ssram/cy7c1354b.vhd,hdl"
1953 2186 VALUE "<project>/../../lib/cypress/ssram/cy7c1380d.vhd,hdl"
1954 2187 VALUE "<project>/../../lib/work/debug/debug.vhd,hdl"
1955 2188 VALUE "<project>/../../lib/work/debug/grtestmod.vhd,hdl"
1956 2189 VALUE "<project>/../../lib/work/debug/cpu_disas.vhd,hdl"
1957 2190 VALUE "<project>/config.vhd,hdl"
1958 2191 VALUE "<project>/ahbrom.vhd,hdl"
1959 2192 VALUE "<project>/leon3mp.vhd,hdl"
1960 2193 ENDFILELIST
1961 2194 ENDLIST
1962 2195 ENDLIST
1963 2196 ENDLIST
@@ -1,1661 +1,1788
1 # Doxyfile 1.7.1
1 # Doxyfile 1.7.5.1
2 2
3 3 # This file describes the settings to be used by the documentation system
4 4 # doxygen (www.doxygen.org) for a project
5 5 #
6 6 # All text after a hash (#) is considered a comment and will be ignored
7 7 # The format is:
8 8 # TAG = value [value, ...]
9 9 # For lists items can also be appended using:
10 10 # TAG += value [value, ...]
11 11 # Values that contain spaces should be placed between quotes (" ")
12 12
13 13 #---------------------------------------------------------------------------
14 14 # Project related configuration options
15 15 #---------------------------------------------------------------------------
16 16
17 17 # This tag specifies the encoding used for all characters in the config file
18 18 # that follow. The default is UTF-8 which is also the encoding used for all
19 19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 20 # iconv built into libc) for the transcoding. See
21 21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22 22
23 23 DOXYFILE_ENCODING = UTF-8
24 24
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 # by quotes) that should identify the project.
25 # The PROJECT_NAME tag is a single word (or sequence of words) that should
26 # identify the project. Note that if you do not use Doxywizard you need
27 # to put quotes around the project name if it contains spaces.
27 28
28 29 PROJECT_NAME = lib-lpp
29 30
30 31 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 32 # This could be handy for archiving the generated documentation or
32 33 # if some version control system is used.
33 34
34 PROJECT_NUMBER = 0.4
35 PROJECT_NUMBER = 1.0
36
37 # Using the PROJECT_BRIEF tag one can provide an optional one line description
38 # for a project that appears at the top of each page and should give viewer
39 # a quick idea about the purpose of the project. Keep the description short.
40
41 PROJECT_BRIEF =
42
43 # With the PROJECT_LOGO tag one can specify an logo or icon that is
44 # included in the documentation. The maximum height of the logo should not
45 # exceed 55 pixels and the maximum width should not exceed 200 pixels.
46 # Doxygen will copy the logo to the output directory.
47
48 PROJECT_LOGO =
35 49
36 50 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 51 # base path where the generated documentation will be put.
38 52 # If a relative path is entered, it will be relative to the location
39 53 # where doxygen was started. If left blank the current directory will be used.
40 54
41 55 OUTPUT_DIRECTORY = ../../doc/
42 56
43 57 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 58 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 59 # format and will distribute the generated files over these directories.
46 60 # Enabling this option can be useful when feeding doxygen a huge amount of
47 61 # source files, where putting all generated files in the same directory would
48 62 # otherwise cause performance problems for the file system.
49 63
50 64 CREATE_SUBDIRS = NO
51 65
52 66 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 67 # documentation generated by doxygen is written. Doxygen will use this
54 68 # information to generate all constant output in the proper language.
55 69 # The default language is English, other supported languages are:
56 70 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 71 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 72 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 73 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
74 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrillic, Slovak,
61 75 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62 76
63 77 OUTPUT_LANGUAGE = English
64 78
65 79 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 80 # include brief member descriptions after the members that are listed in
67 81 # the file and class documentation (similar to JavaDoc).
68 82 # Set to NO to disable this.
69 83
70 84 BRIEF_MEMBER_DESC = YES
71 85
72 86 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 87 # the brief description of a member or function before the detailed description.
74 88 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 89 # brief descriptions will be completely suppressed.
76 90
77 91 REPEAT_BRIEF = YES
78 92
79 93 # This tag implements a quasi-intelligent brief description abbreviator
80 94 # that is used to form the text in various listings. Each string
81 95 # in this list, if found as the leading text of the brief description, will be
82 96 # stripped from the text and the result after processing the whole list, is
83 97 # used as the annotated text. Otherwise, the brief description is used as-is.
84 98 # If left blank, the following values are used ("$name" is automatically
85 99 # replaced with the name of the entity): "The $name class" "The $name widget"
86 100 # "The $name file" "is" "provides" "specifies" "contains"
87 101 # "represents" "a" "an" "the"
88 102
89 103 ABBREVIATE_BRIEF = "The $name class" \
90 104 "The $name widget" \
91 105 "The $name file" \
92 106 is \
93 107 provides \
94 108 specifies \
95 109 contains \
96 110 represents \
97 111 a \
98 112 an \
99 113 the
100 114
101 115 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 116 # Doxygen will generate a detailed section even if there is only a brief
103 117 # description.
104 118
105 119 ALWAYS_DETAILED_SEC = NO
106 120
107 121 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 122 # inherited members of a class in the documentation of that class as if those
109 123 # members were ordinary class members. Constructors, destructors and assignment
110 124 # operators of the base classes will not be shown.
111 125
112 126 INLINE_INHERITED_MEMB = NO
113 127
114 128 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 129 # path before files name in the file list and in the header files. If set
116 130 # to NO the shortest path that makes the file name unique will be used.
117 131
118 132 FULL_PATH_NAMES = YES
119 133
120 134 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 135 # can be used to strip a user-defined part of the path. Stripping is
122 136 # only done if one of the specified strings matches the left-hand part of
123 137 # the path. The tag can be used to show relative paths in the file list.
124 138 # If left blank the directory from which doxygen is run is used as the
125 139 # path to strip.
126 140
127 141 STRIP_FROM_PATH =
128 142
129 143 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 144 # the path mentioned in the documentation of a class, which tells
131 145 # the reader which header file to include in order to use a class.
132 146 # If left blank only the name of the header file containing the class
133 147 # definition is used. Otherwise one should specify the include paths that
134 148 # are normally passed to the compiler using the -I flag.
135 149
136 150 STRIP_FROM_INC_PATH =
137 151
138 152 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 # (but less readable) file names. This can be useful is your file systems
153 # (but less readable) file names. This can be useful if your file system
140 154 # doesn't support long names like on DOS, Mac, or CD-ROM.
141 155
142 156 SHORT_NAMES = NO
143 157
144 158 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 159 # will interpret the first line (until the first dot) of a JavaDoc-style
146 160 # comment as the brief description. If set to NO, the JavaDoc
147 161 # comments will behave just like regular Qt-style comments
148 162 # (thus requiring an explicit @brief command for a brief description.)
149 163
150 164 JAVADOC_AUTOBRIEF = NO
151 165
152 166 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 167 # interpret the first line (until the first dot) of a Qt-style
154 168 # comment as the brief description. If set to NO, the comments
155 169 # will behave just like regular Qt-style comments (thus requiring
156 170 # an explicit \brief command for a brief description.)
157 171
158 172 QT_AUTOBRIEF = NO
159 173
160 174 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 175 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 176 # comments) as a brief description. This used to be the default behaviour.
163 177 # The new default is to treat a multi-line C++ comment block as a detailed
164 178 # description. Set this tag to YES if you prefer the old behaviour instead.
165 179
166 180 MULTILINE_CPP_IS_BRIEF = NO
167 181
168 182 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 183 # member inherits the documentation from any documented member that it
170 184 # re-implements.
171 185
172 186 INHERIT_DOCS = YES
173 187
174 188 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 189 # a new page for each member. If set to NO, the documentation of a member will
176 190 # be part of the file/class/namespace that contains it.
177 191
178 192 SEPARATE_MEMBER_PAGES = NO
179 193
180 194 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 195 # Doxygen uses this value to replace tabs by spaces in code fragments.
182 196
183 197 TAB_SIZE = 8
184 198
185 199 # This tag can be used to specify a number of aliases that acts
186 200 # as commands in the documentation. An alias has the form "name=value".
187 201 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 202 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 203 # will result in a user-defined paragraph with heading "Side Effects:".
190 204 # You can put \n's in the value part of an alias to insert newlines.
191 205
192 206 ALIASES =
193 207
194 208 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 209 # sources only. Doxygen will then generate output that is more tailored for C.
196 210 # For instance, some of the names that are used will be different. The list
197 211 # of all members will be omitted, etc.
198 212
199 213 OPTIMIZE_OUTPUT_FOR_C = NO
200 214
201 215 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 216 # sources only. Doxygen will then generate output that is more tailored for
203 217 # Java. For instance, namespaces will be presented as packages, qualified
204 218 # scopes will look different, etc.
205 219
206 220 OPTIMIZE_OUTPUT_JAVA = NO
207 221
208 222 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 223 # sources only. Doxygen will then generate output that is more tailored for
210 224 # Fortran.
211 225
212 226 OPTIMIZE_FOR_FORTRAN = NO
213 227
214 228 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 229 # sources. Doxygen will then generate output that is tailored for
216 230 # VHDL.
217 231
218 232 OPTIMIZE_OUTPUT_VHDL = YES
219 233
220 234 # Doxygen selects the parser to use depending on the extension of the files it
221 235 # parses. With this tag you can assign which parser to use for a given extension.
222 236 # Doxygen has a built-in mapping, but you can override or extend it using this
223 237 # tag. The format is ext=language, where ext is a file extension, and language
224 238 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 239 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 240 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 241 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 242 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229 243
230 244 EXTENSION_MAPPING =
231 245
232 246 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 247 # to include (a tag file for) the STL sources as input, then you should
234 248 # set this tag to YES in order to let doxygen match functions declarations and
235 249 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 # func(std::string) {}). This also make the inheritance and collaboration
250 # func(std::string) {}). This also makes the inheritance and collaboration
237 251 # diagrams that involve STL classes more complete and accurate.
238 252
239 253 BUILTIN_STL_SUPPORT = NO
240 254
241 255 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 256 # enable parsing support.
243 257
244 258 CPP_CLI_SUPPORT = NO
245 259
246 260 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 261 # Doxygen will parse them like normal C++ but will assume all classes use public
248 262 # instead of private inheritance when no explicit protection keyword is present.
249 263
250 264 SIP_SUPPORT = NO
251 265
252 266 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 267 # and setter methods for a property. Setting this option to YES (the default)
254 # will make doxygen to replace the get and set methods by a property in the
268 # will make doxygen replace the get and set methods by a property in the
255 269 # documentation. This will only work if the methods are indeed getting or
256 270 # setting a simple type. If this is not the case, or you want to show the
257 271 # methods anyway, you should set this option to NO.
258 272
259 273 IDL_PROPERTY_SUPPORT = YES
260 274
261 275 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 276 # tag is set to YES, then doxygen will reuse the documentation of the first
263 277 # member in the group (if any) for the other members of the group. By default
264 278 # all members of a group must be documented explicitly.
265 279
266 280 DISTRIBUTE_GROUP_DOC = NO
267 281
268 282 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 283 # the same type (for instance a group of public functions) to be put as a
270 284 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 285 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 286 # the \nosubgrouping command.
273 287
274 288 SUBGROUPING = YES
275 289
290 # When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and
291 # unions are shown inside the group in which they are included (e.g. using
292 # @ingroup) instead of on a separate page (for HTML and Man pages) or
293 # section (for LaTeX and RTF).
294
295 INLINE_GROUPED_CLASSES = NO
296
297 # When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and
298 # unions with only public data fields will be shown inline in the documentation
299 # of the scope in which they are defined (i.e. file, namespace, or group
300 # documentation), provided this scope is documented. If set to NO (the default),
301 # structs, classes, and unions are shown on a separate page (for HTML and Man
302 # pages) or section (for LaTeX and RTF).
303
304 INLINE_SIMPLE_STRUCTS = NO
305
276 306 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 307 # is documented as struct, union, or enum with the name of the typedef. So
278 308 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 309 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 310 # namespace, or class. And the struct will be named TypeS. This can typically
281 311 # be useful for C code in case the coding convention dictates that all compound
282 312 # types are typedef'ed and only the typedef is referenced, never the tag name.
283 313
284 314 TYPEDEF_HIDES_STRUCT = NO
285 315
286 316 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 317 # determine which symbols to keep in memory and which to flush to disk.
288 318 # When the cache is full, less often used symbols will be written to disk.
289 319 # For small to medium size projects (<1000 input files) the default value is
290 320 # probably good enough. For larger projects a too small cache size can cause
291 321 # doxygen to be busy swapping symbols to and from disk most of the time
292 # causing a significant performance penality.
322 # causing a significant performance penalty.
293 323 # If the system has enough physical memory increasing the cache will improve the
294 324 # performance by keeping more symbols in memory. Note that the value works on
295 # a logarithmic scale so increasing the size by one will rougly double the
325 # a logarithmic scale so increasing the size by one will roughly double the
296 326 # memory usage. The cache size is given by this formula:
297 327 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 328 # corresponding to a cache size of 2^16 = 65536 symbols
299 329
300 330 SYMBOL_CACHE_SIZE = 0
301 331
302 332 #---------------------------------------------------------------------------
303 333 # Build related configuration options
304 334 #---------------------------------------------------------------------------
305 335
306 336 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 337 # documentation are documented, even if no documentation was available.
308 338 # Private class members and static file members will be hidden unless
309 339 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310 340
311 341 EXTRACT_ALL = YES
312 342
313 343 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 344 # will be included in the documentation.
315 345
316 346 EXTRACT_PRIVATE = NO
317 347
318 348 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 349 # will be included in the documentation.
320 350
321 351 EXTRACT_STATIC = NO
322 352
323 353 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 354 # defined locally in source files will be included in the documentation.
325 355 # If set to NO only classes defined in header files are included.
326 356
327 357 EXTRACT_LOCAL_CLASSES = YES
328 358
329 359 # This flag is only useful for Objective-C code. When set to YES local
330 360 # methods, which are defined in the implementation section but not in
331 361 # the interface are included in the documentation.
332 362 # If set to NO (the default) only methods in the interface are included.
333 363
334 364 EXTRACT_LOCAL_METHODS = NO
335 365
336 366 # If this flag is set to YES, the members of anonymous namespaces will be
337 367 # extracted and appear in the documentation as a namespace called
338 368 # 'anonymous_namespace{file}', where file will be replaced with the base
339 369 # name of the file that contains the anonymous namespace. By default
340 # anonymous namespace are hidden.
370 # anonymous namespaces are hidden.
341 371
342 372 EXTRACT_ANON_NSPACES = NO
343 373
344 374 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 375 # undocumented members of documented classes, files or namespaces.
346 376 # If set to NO (the default) these members will be included in the
347 377 # various overviews, but no documentation section is generated.
348 378 # This option has no effect if EXTRACT_ALL is enabled.
349 379
350 380 HIDE_UNDOC_MEMBERS = NO
351 381
352 382 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 383 # undocumented classes that are normally visible in the class hierarchy.
354 384 # If set to NO (the default) these classes will be included in the various
355 385 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356 386
357 387 HIDE_UNDOC_CLASSES = NO
358 388
359 389 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 390 # friend (class|struct|union) declarations.
361 391 # If set to NO (the default) these declarations will be included in the
362 392 # documentation.
363 393
364 394 HIDE_FRIEND_COMPOUNDS = NO
365 395
366 396 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 397 # documentation blocks found inside the body of a function.
368 398 # If set to NO (the default) these blocks will be appended to the
369 399 # function's detailed documentation block.
370 400
371 401 HIDE_IN_BODY_DOCS = NO
372 402
373 403 # The INTERNAL_DOCS tag determines if documentation
374 404 # that is typed after a \internal command is included. If the tag is set
375 405 # to NO (the default) then the documentation will be excluded.
376 406 # Set it to YES to include the internal documentation.
377 407
378 408 INTERNAL_DOCS = NO
379 409
380 410 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 411 # file names in lower-case letters. If set to YES upper-case letters are also
382 412 # allowed. This is useful if you have classes or files whose names only differ
383 413 # in case and if your file system supports case sensitive file names. Windows
384 414 # and Mac users are advised to set this option to NO.
385 415
386 416 CASE_SENSE_NAMES = NO
387 417
388 418 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 419 # will show members with their full class and namespace scopes in the
390 420 # documentation. If set to YES the scope will be hidden.
391 421
392 422 HIDE_SCOPE_NAMES = NO
393 423
394 424 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 425 # will put a list of the files that are included by a file in the documentation
396 426 # of that file.
397 427
398 428 SHOW_INCLUDE_FILES = YES
399 429
400 430 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 431 # will list include files with double quotes in the documentation
402 432 # rather than with sharp brackets.
403 433
404 434 FORCE_LOCAL_INCLUDES = NO
405 435
406 436 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 437 # is inserted in the documentation for inline members.
408 438
409 439 INLINE_INFO = YES
410 440
411 441 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 442 # will sort the (detailed) documentation of file and class members
413 443 # alphabetically by member name. If set to NO the members will appear in
414 444 # declaration order.
415 445
416 446 SORT_MEMBER_DOCS = YES
417 447
418 448 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 449 # brief documentation of file, namespace and class members alphabetically
420 450 # by member name. If set to NO (the default) the members will appear in
421 451 # declaration order.
422 452
423 453 SORT_BRIEF_DOCS = NO
424 454
425 455 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 456 # will sort the (brief and detailed) documentation of class members so that
427 457 # constructors and destructors are listed first. If set to NO (the default)
428 458 # the constructors will appear in the respective orders defined by
429 459 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 460 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 461 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432 462
433 463 SORT_MEMBERS_CTORS_1ST = NO
434 464
435 465 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 466 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 467 # the group names will appear in their defined order.
438 468
439 469 SORT_GROUP_NAMES = NO
440 470
441 471 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 472 # sorted by fully-qualified names, including namespaces. If set to
443 473 # NO (the default), the class list will be sorted only by class name,
444 474 # not including the namespace part.
445 475 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 476 # Note: This option applies only to the class list, not to the
447 477 # alphabetical list.
448 478
449 479 SORT_BY_SCOPE_NAME = NO
450 480
481 # If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to
482 # do proper type resolution of all parameters of a function it will reject a
483 # match between the prototype and the implementation of a member function even
484 # if there is only one candidate or it is obvious which candidate to choose
485 # by doing a simple string match. By disabling STRICT_PROTO_MATCHING doxygen
486 # will still accept a match between prototype and implementation in such cases.
487
488 STRICT_PROTO_MATCHING = NO
489
451 490 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 491 # disable (NO) the todo list. This list is created by putting \todo
453 492 # commands in the documentation.
454 493
455 494 GENERATE_TODOLIST = YES
456 495
457 496 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 497 # disable (NO) the test list. This list is created by putting \test
459 498 # commands in the documentation.
460 499
461 500 GENERATE_TESTLIST = YES
462 501
463 502 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 503 # disable (NO) the bug list. This list is created by putting \bug
465 504 # commands in the documentation.
466 505
467 506 GENERATE_BUGLIST = YES
468 507
469 508 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 509 # disable (NO) the deprecated list. This list is created by putting
471 510 # \deprecated commands in the documentation.
472 511
473 512 GENERATE_DEPRECATEDLIST= YES
474 513
475 514 # The ENABLED_SECTIONS tag can be used to enable conditional
476 515 # documentation sections, marked by \if sectionname ... \endif.
477 516
478 517 ENABLED_SECTIONS =
479 518
480 519 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 # the initial value of a variable or define consists of for it to appear in
520 # the initial value of a variable or macro consists of for it to appear in
482 521 # the documentation. If the initializer consists of more lines than specified
483 522 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 # The appearance of the initializer of individual variables and defines in the
523 # The appearance of the initializer of individual variables and macros in the
485 524 # documentation can be controlled using \showinitializer or \hideinitializer
486 525 # command in the documentation regardless of this setting.
487 526
488 527 MAX_INITIALIZER_LINES = 30
489 528
490 529 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 530 # at the bottom of the documentation of classes and structs. If set to YES the
492 531 # list will mention the files that were used to generate the documentation.
493 532
494 533 SHOW_USED_FILES = YES
495 534
496 535 # If the sources in your project are distributed over multiple directories
497 536 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 537 # in the documentation. The default is NO.
499 538
500 539 SHOW_DIRECTORIES = NO
501 540
502 541 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 542 # This will remove the Files entry from the Quick Index and from the
504 543 # Folder Tree View (if specified). The default is YES.
505 544
506 545 SHOW_FILES = YES
507 546
508 547 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 548 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 549 # and from the Folder Tree View (if specified). The default is YES.
511 550
512 551 SHOW_NAMESPACES = YES
513 552
514 553 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 554 # doxygen should invoke to get the current version for each file (typically from
516 555 # the version control system). Doxygen will invoke the program by executing (via
517 556 # popen()) the command <command> <input-file>, where <command> is the value of
518 557 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 558 # provided by doxygen. Whatever the program writes to standard output
520 559 # is used as the file version. See the manual for examples.
521 560
522 561 FILE_VERSION_FILTER =
523 562
524 563 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 564 # by doxygen. The layout file controls the global structure of the generated
526 565 # output files in an output format independent way. The create the layout file
527 566 # that represents doxygen's defaults, run doxygen with the -l option.
528 567 # You can optionally specify a file name after the option, if omitted
529 568 # DoxygenLayout.xml will be used as the name of the layout file.
530 569
531 570 LAYOUT_FILE =
532 571
572 # The CITE_BIB_FILES tag can be used to specify one or more bib files
573 # containing the references data. This must be a list of .bib files. The
574 # .bib extension is automatically appended if omitted. Using this command
575 # requires the bibtex tool to be installed. See also
576 # http://en.wikipedia.org/wiki/BibTeX for more info. For LaTeX the style
577 # of the bibliography can be controlled using LATEX_BIB_STYLE.
578
579 CITE_BIB_FILES =
580
533 581 #---------------------------------------------------------------------------
534 582 # configuration options related to warning and progress messages
535 583 #---------------------------------------------------------------------------
536 584
537 585 # The QUIET tag can be used to turn on/off the messages that are generated
538 586 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539 587
540 588 QUIET = NO
541 589
542 590 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 591 # generated by doxygen. Possible values are YES and NO. If left blank
544 592 # NO is used.
545 593
546 594 WARNINGS = YES
547 595
548 596 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 597 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 598 # automatically be disabled.
551 599
552 600 WARN_IF_UNDOCUMENTED = YES
553 601
554 602 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 603 # potential errors in the documentation, such as not documenting some
556 604 # parameters in a documented function, or documenting parameters that
557 605 # don't exist or using markup commands wrongly.
558 606
559 607 WARN_IF_DOC_ERROR = YES
560 608
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
609 # The WARN_NO_PARAMDOC option can be enabled to get warnings for
562 610 # functions that are documented, but have no documentation for their parameters
563 611 # or return value. If set to NO (the default) doxygen will only warn about
564 612 # wrong or incomplete parameter documentation, but not about the absence of
565 613 # documentation.
566 614
567 615 WARN_NO_PARAMDOC = NO
568 616
569 617 # The WARN_FORMAT tag determines the format of the warning messages that
570 618 # doxygen can produce. The string should contain the $file, $line, and $text
571 619 # tags, which will be replaced by the file and line number from which the
572 620 # warning originated and the warning text. Optionally the format may contain
573 621 # $version, which will be replaced by the version of the file (if it could
574 622 # be obtained via FILE_VERSION_FILTER)
575 623
576 624 WARN_FORMAT = "$file:$line: $text"
577 625
578 626 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 627 # and error messages should be written. If left blank the output is written
580 628 # to stderr.
581 629
582 630 WARN_LOGFILE =
583 631
584 632 #---------------------------------------------------------------------------
585 633 # configuration options related to the input files
586 634 #---------------------------------------------------------------------------
587 635
588 636 # The INPUT tag can be used to specify the files and/or directories that contain
589 637 # documented source files. You may enter file names like "myfile.cpp" or
590 638 # directories like "/usr/src/myproject". Separate the files or directories
591 639 # with spaces.
592 640
593 641 INPUT = .
594 642
595 643 # This tag can be used to specify the character encoding of the source files
596 644 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 645 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 646 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 647 # the list of possible encodings.
600 648
601 649 INPUT_ENCODING = UTF-8
602 650
603 651 # If the value of the INPUT tag contains directories, you can use the
604 652 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 653 # and *.h) to filter out the source-files in the directories. If left
606 654 # blank the following patterns are tested:
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
655 # *.c *.cc *.cxx *.cpp *.c++ *.d *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh
656 # *.hxx *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.dox *.py
657 # *.f90 *.f *.for *.vhd *.vhdl
609 658
610 659 FILE_PATTERNS = *.c \
611 660 *.cc \
612 661 *.cxx \
613 662 *.cpp \
614 663 *.c++ \
615 664 *.d \
616 665 *.java \
617 666 *.ii \
618 667 *.ixx \
619 668 *.ipp \
620 669 *.i++ \
621 670 *.inl \
622 671 *.h \
623 672 *.hh \
624 673 *.hxx \
625 674 *.hpp \
626 675 *.h++ \
627 676 *.idl \
628 677 *.odl \
629 678 *.cs \
630 679 *.php \
631 680 *.php3 \
632 681 *.inc \
633 682 *.m \
634 683 *.mm \
635 684 *.dox \
636 685 *.py \
637 686 *.f90 \
638 687 *.f \
639 688 *.vhd \
640 689 *.vhdl
641 690
642 691 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 692 # should be searched for input files as well. Possible values are YES and NO.
644 693 # If left blank NO is used.
645 694
646 695 RECURSIVE = YES
647 696
648 697 # The EXCLUDE tag can be used to specify files and/or directories that should
649 698 # excluded from the INPUT source files. This way you can easily exclude a
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
699 # subdirectory from a directory tree whose root is specified with the INPUT tag.
700 # Note that relative paths are relative to directory from which doxygen is run.
651 701
652 702 EXCLUDE =
653 703
654 704 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
705 # directories that are symbolic links (a Unix file system feature) are excluded
656 706 # from the input.
657 707
658 708 EXCLUDE_SYMLINKS = NO
659 709
660 710 # If the value of the INPUT tag contains directories, you can use the
661 711 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 712 # certain files from those directories. Note that the wildcards are matched
663 713 # against the file with absolute path, so to exclude all test directories
664 714 # for example use the pattern */test/*
665 715
666 716 EXCLUDE_PATTERNS =
667 717
668 718 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 719 # (namespaces, classes, functions, etc.) that should be excluded from the
670 720 # output. The symbol name can be a fully qualified name, a word, or if the
671 721 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 722 # AClass::ANamespace, ANamespace::*Test
673 723
674 724 EXCLUDE_SYMBOLS =
675 725
676 726 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 727 # directories that contain example code fragments that are included (see
678 728 # the \include command).
679 729
680 730 EXAMPLE_PATH =
681 731
682 732 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 733 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 734 # and *.h) to filter out the source-files in the directories. If left
685 735 # blank all files are included.
686 736
687 737 EXAMPLE_PATTERNS = *
688 738
689 739 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 740 # searched for input files to be used with the \include or \dontinclude
691 741 # commands irrespective of the value of the RECURSIVE tag.
692 742 # Possible values are YES and NO. If left blank NO is used.
693 743
694 744 EXAMPLE_RECURSIVE = NO
695 745
696 746 # The IMAGE_PATH tag can be used to specify one or more files or
697 747 # directories that contain image that are included in the documentation (see
698 748 # the \image command).
699 749
700 750 IMAGE_PATH =
701 751
702 752 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 753 # invoke to filter for each input file. Doxygen will invoke the filter program
704 754 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 755 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 756 # input file. Doxygen will then use the output that the filter program writes
707 757 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 758 # ignored.
709 759
710 760 INPUT_FILTER =
711 761
712 762 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 763 # basis. Doxygen will compare the file name with each pattern and apply the
714 764 # filter if there is a match. The filters are a list of the form:
715 765 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 # is applied to all files.
766 # info on how filters are used. If FILTER_PATTERNS is empty or if
767 # non of the patterns match the file name, INPUT_FILTER is applied.
718 768
719 769 FILTER_PATTERNS =
720 770
721 771 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 772 # INPUT_FILTER) will be used to filter the input files when producing source
723 773 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724 774
725 775 FILTER_SOURCE_FILES = NO
726 776
777 # The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file
778 # pattern. A pattern will override the setting for FILTER_PATTERN (if any)
779 # and it is also possible to disable source filtering for a specific pattern
780 # using *.ext= (so without naming a filter). This option only has effect when
781 # FILTER_SOURCE_FILES is enabled.
782
783 FILTER_SOURCE_PATTERNS =
784
727 785 #---------------------------------------------------------------------------
728 786 # configuration options related to source browsing
729 787 #---------------------------------------------------------------------------
730 788
731 789 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 790 # be generated. Documented entities will be cross-referenced with these sources.
733 791 # Note: To get rid of all source code in the generated output, make sure also
734 792 # VERBATIM_HEADERS is set to NO.
735 793
736 SOURCE_BROWSER = YES
794 SOURCE_BROWSER = NO
737 795
738 796 # Setting the INLINE_SOURCES tag to YES will include the body
739 797 # of functions and classes directly in the documentation.
740 798
741 799 INLINE_SOURCES = NO
742 800
743 801 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 802 # doxygen to hide any special comment blocks from generated source code
745 803 # fragments. Normal C and C++ comments will always remain visible.
746 804
747 805 STRIP_CODE_COMMENTS = YES
748 806
749 807 # If the REFERENCED_BY_RELATION tag is set to YES
750 808 # then for each documented function all documented
751 809 # functions referencing it will be listed.
752 810
753 811 REFERENCED_BY_RELATION = NO
754 812
755 813 # If the REFERENCES_RELATION tag is set to YES
756 814 # then for each documented function all documented entities
757 815 # called/used by that function will be listed.
758 816
759 817 REFERENCES_RELATION = NO
760 818
761 819 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 820 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 821 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 822 # link to the source code. Otherwise they will link to the documentation.
765 823
766 824 REFERENCES_LINK_SOURCE = YES
767 825
768 826 # If the USE_HTAGS tag is set to YES then the references to source code
769 827 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 828 # built-in source browser. The htags tool is part of GNU's global source
771 829 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 830 # will need version 4.8.6 or higher.
773 831
774 832 USE_HTAGS = NO
775 833
776 834 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 835 # will generate a verbatim copy of the header file for each class for
778 836 # which an include is specified. Set to NO to disable this.
779 837
780 838 VERBATIM_HEADERS = YES
781 839
782 840 #---------------------------------------------------------------------------
783 841 # configuration options related to the alphabetical class index
784 842 #---------------------------------------------------------------------------
785 843
786 844 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 845 # of all compounds will be generated. Enable this if the project
788 846 # contains a lot of classes, structs, unions or interfaces.
789 847
790 848 ALPHABETICAL_INDEX = YES
791 849
792 850 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 851 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 852 # in which this list will be split (can be a number in the range [1..20])
795 853
796 854 COLS_IN_ALPHA_INDEX = 5
797 855
798 856 # In case all classes in a project start with a common prefix, all
799 857 # classes will be put under the same header in the alphabetical index.
800 858 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 859 # should be ignored while generating the index headers.
802 860
803 861 IGNORE_PREFIX =
804 862
805 863 #---------------------------------------------------------------------------
806 864 # configuration options related to the HTML output
807 865 #---------------------------------------------------------------------------
808 866
809 867 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 868 # generate HTML output.
811 869
812 870 GENERATE_HTML = YES
813 871
814 872 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 873 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 874 # put in front of it. If left blank `html' will be used as the default path.
817 875
818 876 HTML_OUTPUT = html
819 877
820 878 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 879 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 880 # doxygen will generate files with .html extension.
823 881
824 882 HTML_FILE_EXTENSION = .html
825 883
826 884 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 885 # each generated HTML page. If it is left blank doxygen will generate a
828 # standard header.
886 # standard header. Note that when using a custom header you are responsible
887 # for the proper inclusion of any scripts and style sheets that doxygen
888 # needs, which is dependent on the configuration options used.
889 # It is adviced to generate a default header using "doxygen -w html
890 # header.html footer.html stylesheet.css YourConfigFile" and then modify
891 # that header. Note that the header is subject to change so you typically
892 # have to redo this when upgrading to a newer version of doxygen or when
893 # changing the value of configuration settings such as GENERATE_TREEVIEW!
829 894
830 HTML_HEADER = ../../doc/ressources/Header
895 HTML_HEADER =
831 896
832 897 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 898 # each generated HTML page. If it is left blank doxygen will generate a
834 899 # standard footer.
835 900
836 HTML_FOOTER = ../../doc/ressources/Footer
901 HTML_FOOTER =
837 902
838 903 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 904 # style sheet that is used by each HTML page. It can be used to
840 905 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 906 # will generate a default style sheet. Note that doxygen will try to copy
842 907 # the style sheet file to the HTML output directory, so don't put your own
843 908 # stylesheet in the HTML output directory as well, or it will be erased!
844 909
845 HTML_STYLESHEET = ../../doc/ressources/doxygen.css
910 HTML_STYLESHEET =
911
912 # The HTML_EXTRA_FILES tag can be used to specify one or more extra images or
913 # other source files which should be copied to the HTML output directory. Note
914 # that these files will be copied to the base HTML output directory. Use the
915 # $relpath$ marker in the HTML_HEADER and/or HTML_FOOTER files to load these
916 # files. In the HTML_STYLESHEET file, use the file name only. Also note that
917 # the files will be copied as-is; there are no commands or markers available.
918
919 HTML_EXTRA_FILES =
846 920
847 921 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 922 # Doxygen will adjust the colors in the stylesheet and background images
849 923 # according to this color. Hue is specified as an angle on a colorwheel,
850 924 # see http://en.wikipedia.org/wiki/Hue for more information.
851 925 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 926 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 927 # The allowed range is 0 to 359.
854 928
855 929 HTML_COLORSTYLE_HUE = 220
856 930
857 931 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 932 # the colors in the HTML output. For a value of 0 the output will use
859 933 # grayscales only. A value of 255 will produce the most vivid colors.
860 934
861 935 HTML_COLORSTYLE_SAT = 100
862 936
863 937 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 938 # the luminance component of the colors in the HTML output. Values below
865 939 # 100 gradually make the output lighter, whereas values above 100 make
866 940 # the output darker. The value divided by 100 is the actual gamma applied,
867 941 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 942 # and 100 does not change the gamma.
869 943
870 944 HTML_COLORSTYLE_GAMMA = 80
871 945
872 946 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 947 # page will contain the date and time when the page was generated. Setting
874 948 # this to NO can help when comparing the output of multiple runs.
875 949
876 950 HTML_TIMESTAMP = YES
877 951
878 952 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 953 # files or namespaces will be aligned in HTML using tables. If set to
880 954 # NO a bullet list will be used.
881 955
882 956 HTML_ALIGN_MEMBERS = YES
883 957
884 958 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 959 # documentation will contain sections that can be hidden and shown after the
886 960 # page has loaded. For this to work a browser that supports
887 961 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 962 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889 963
890 964 HTML_DYNAMIC_SECTIONS = NO
891 965
892 966 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 967 # will be generated that can be used as input for Apple's Xcode 3
894 968 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 969 # To create a documentation set, doxygen will generate a Makefile in the
896 970 # HTML output directory. Running make will produce the docset in that
897 971 # directory and running "make install" will install the docset in
898 972 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 973 # it at startup.
900 974 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 975 # for more information.
902 976
903 977 GENERATE_DOCSET = NO
904 978
905 979 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 980 # feed. A documentation feed provides an umbrella under which multiple
907 981 # documentation sets from a single provider (such as a company or product suite)
908 982 # can be grouped.
909 983
910 984 DOCSET_FEEDNAME = "Doxygen generated docs"
911 985
912 986 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 987 # should uniquely identify the documentation set bundle. This should be a
914 988 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 989 # will append .docset to the name.
916 990
917 991 DOCSET_BUNDLE_ID = org.doxygen.Project
918 992
919 993 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 994 # the documentation publisher. This should be a reverse domain-name style
921 995 # string, e.g. com.mycompany.MyDocSet.documentation.
922 996
923 997 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924 998
925 999 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926 1000
927 1001 DOCSET_PUBLISHER_NAME = Publisher
928 1002
929 1003 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 1004 # will be generated that can be used as input for tools like the
931 1005 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 1006 # of the generated HTML documentation.
933 1007
934 1008 GENERATE_HTMLHELP = NO
935 1009
936 1010 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 1011 # be used to specify the file name of the resulting .chm file. You
938 1012 # can add a path in front of the file if the result should not be
939 1013 # written to the html output directory.
940 1014
941 1015 CHM_FILE =
942 1016
943 1017 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 1018 # be used to specify the location (absolute path including file name) of
945 1019 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 1020 # the HTML help compiler on the generated index.hhp.
947 1021
948 1022 HHC_LOCATION =
949 1023
950 1024 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 1025 # controls if a separate .chi index file is generated (YES) or that
952 1026 # it should be included in the master .chm file (NO).
953 1027
954 1028 GENERATE_CHI = NO
955 1029
956 1030 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 1031 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 1032 # content.
959 1033
960 1034 CHM_INDEX_ENCODING =
961 1035
962 1036 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 1037 # controls whether a binary table of contents is generated (YES) or a
964 1038 # normal table of contents (NO) in the .chm file.
965 1039
966 1040 BINARY_TOC = NO
967 1041
968 1042 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 1043 # to the contents of the HTML help documentation and to the tree view.
970 1044
971 1045 TOC_EXPAND = NO
972 1046
973 1047 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 1048 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 1049 # that can be used as input for Qt's qhelpgenerator to generate a
976 1050 # Qt Compressed Help (.qch) of the generated HTML documentation.
977 1051
978 1052 GENERATE_QHP = NO
979 1053
980 1054 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 1055 # be used to specify the file name of the resulting .qch file.
982 1056 # The path specified is relative to the HTML output folder.
983 1057
984 1058 QCH_FILE =
985 1059
986 1060 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 1061 # Qt Help Project output. For more information please see
988 1062 # http://doc.trolltech.com/qthelpproject.html#namespace
989 1063
990 1064 QHP_NAMESPACE = org.doxygen.Project
991 1065
992 1066 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 1067 # Qt Help Project output. For more information please see
994 1068 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995 1069
996 1070 QHP_VIRTUAL_FOLDER = doc
997 1071
998 1072 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 1073 # add. For more information please see
1000 1074 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001 1075
1002 1076 QHP_CUST_FILTER_NAME =
1003 1077
1004 1078 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 1079 # custom filter to add. For more information please see
1006 1080 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 1081 # Qt Help Project / Custom Filters</a>.
1008 1082
1009 1083 QHP_CUST_FILTER_ATTRS =
1010 1084
1011 1085 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 1086 # project's
1013 1087 # filter section matches.
1014 1088 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 1089 # Qt Help Project / Filter Attributes</a>.
1016 1090
1017 1091 QHP_SECT_FILTER_ATTRS =
1018 1092
1019 1093 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 1094 # be used to specify the location of Qt's qhelpgenerator.
1021 1095 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 1096 # .qhp file.
1023 1097
1024 1098 QHG_LOCATION =
1025 1099
1026 1100 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 1101 # will be generated, which together with the HTML files, form an Eclipse help
1028 1102 # plugin. To install this plugin and make it available under the help contents
1029 1103 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 1104 # files needs to be copied into the plugins directory of eclipse. The name of
1031 1105 # the directory within the plugins directory should be the same as
1032 1106 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 1107 # the help appears.
1034 1108
1035 1109 GENERATE_ECLIPSEHELP = NO
1036 1110
1037 1111 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 1112 # the directory name containing the HTML and XML files should also have
1039 1113 # this name.
1040 1114
1041 1115 ECLIPSE_DOC_ID = org.doxygen.Project
1042 1116
1043 1117 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 1118 # top of each HTML page. The value NO (the default) enables the index and
1045 1119 # the value YES disables it.
1046 1120
1047 1121 DISABLE_INDEX = NO
1048 1122
1049 # This tag can be used to set the number of enum values (range [1..20])
1050 # that doxygen will group on one line in the generated HTML documentation.
1123 # The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values
1124 # (range [0,1..20]) that doxygen will group on one line in the generated HTML
1125 # documentation. Note that a value of 0 will completely suppress the enum
1126 # values from appearing in the overview section.
1051 1127
1052 1128 ENUM_VALUES_PER_LINE = 4
1053 1129
1054 1130 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 1131 # structure should be generated to display hierarchical information.
1056 1132 # If the tag value is set to YES, a side panel will be generated
1057 1133 # containing a tree-like index structure (just like the one that
1058 1134 # is generated for HTML Help). For this to work a browser that supports
1059 1135 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 1136 # Windows users are probably better off using the HTML help feature.
1061 1137
1062 1138 GENERATE_TREEVIEW = YES
1063 1139
1064 1140 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 1141 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066 1142
1067 1143 USE_INLINE_TREES = NO
1068 1144
1069 1145 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 1146 # used to set the initial width (in pixels) of the frame in which the tree
1071 1147 # is shown.
1072 1148
1073 1149 TREEVIEW_WIDTH = 250
1074 1150
1075 1151 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 1152 # links to external symbols imported via tag files in a separate window.
1077 1153
1078 1154 EXT_LINKS_IN_WINDOW = NO
1079 1155
1080 1156 # Use this tag to change the font size of Latex formulas included
1081 1157 # as images in the HTML documentation. The default is 10. Note that
1082 1158 # when you change the font size after a successful doxygen run you need
1083 1159 # to manually remove any form_*.png images from the HTML output directory
1084 1160 # to force them to be regenerated.
1085 1161
1086 1162 FORMULA_FONTSIZE = 10
1087 1163
1088 1164 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 1165 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 1166 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 1167 # Note that when changing this option you need to delete any form_*.png files
1092 1168 # in the HTML output before the changes have effect.
1093 1169
1094 1170 FORMULA_TRANSPARENT = YES
1095 1171
1172 # Enable the USE_MATHJAX option to render LaTeX formulas using MathJax
1173 # (see http://www.mathjax.org) which uses client side Javascript for the
1174 # rendering instead of using prerendered bitmaps. Use this if you do not
1175 # have LaTeX installed or if you want to formulas look prettier in the HTML
1176 # output. When enabled you also need to install MathJax separately and
1177 # configure the path to it using the MATHJAX_RELPATH option.
1178
1179 USE_MATHJAX = NO
1180
1181 # When MathJax is enabled you need to specify the location relative to the
1182 # HTML output directory using the MATHJAX_RELPATH option. The destination
1183 # directory should contain the MathJax.js script. For instance, if the mathjax
1184 # directory is located at the same level as the HTML output directory, then
1185 # MATHJAX_RELPATH should be ../mathjax. The default value points to the
1186 # mathjax.org site, so you can quickly see the result without installing
1187 # MathJax, but it is strongly recommended to install a local copy of MathJax
1188 # before deployment.
1189
1190 MATHJAX_RELPATH = http://www.mathjax.org/mathjax
1191
1192 # The MATHJAX_EXTENSIONS tag can be used to specify one or MathJax extension
1193 # names that should be enabled during MathJax rendering.
1194
1195 MATHJAX_EXTENSIONS =
1196
1096 1197 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 1198 # for the HTML output. The underlying search engine uses javascript
1098 1199 # and DHTML and should work on any modern browser. Note that when using
1099 1200 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 1201 # (GENERATE_DOCSET) there is already a search function so this one should
1101 1202 # typically be disabled. For large projects the javascript based search engine
1102 1203 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103 1204
1104 1205 SEARCHENGINE = YES
1105 1206
1106 1207 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 1208 # implemented using a PHP enabled web server instead of at the web client
1108 1209 # using Javascript. Doxygen will generate the search PHP script and index
1109 1210 # file to put on the web server. The advantage of the server
1110 1211 # based approach is that it scales better to large projects and allows
1111 # full text search. The disadvances is that it is more difficult to setup
1212 # full text search. The disadvantages are that it is more difficult to setup
1112 1213 # and does not have live searching capabilities.
1113 1214
1114 1215 SERVER_BASED_SEARCH = NO
1115 1216
1116 1217 #---------------------------------------------------------------------------
1117 1218 # configuration options related to the LaTeX output
1118 1219 #---------------------------------------------------------------------------
1119 1220
1120 1221 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 1222 # generate Latex output.
1122 1223
1123 1224 GENERATE_LATEX = YES
1124 1225
1125 1226 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 1227 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 1228 # put in front of it. If left blank `latex' will be used as the default path.
1128 1229
1129 1230 LATEX_OUTPUT = latex
1130 1231
1131 1232 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 1233 # invoked. If left blank `latex' will be used as the default command name.
1133 1234 # Note that when enabling USE_PDFLATEX this option is only used for
1134 1235 # generating bitmaps for formulas in the HTML output, but not in the
1135 1236 # Makefile that is written to the output directory.
1136 1237
1137 1238 LATEX_CMD_NAME = latex
1138 1239
1139 1240 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 1241 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 1242 # default command name.
1142 1243
1143 1244 MAKEINDEX_CMD_NAME = makeindex
1144 1245
1145 1246 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 1247 # LaTeX documents. This may be useful for small projects and may help to
1147 1248 # save some trees in general.
1148 1249
1149 COMPACT_LATEX = YES
1250 COMPACT_LATEX = NO
1150 1251
1151 1252 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1253 # by the printer. Possible values are: a4, letter, legal and
1153 1254 # executive. If left blank a4wide will be used.
1154 1255
1155 PAPER_TYPE = letter
1256 PAPER_TYPE = a4wide
1156 1257
1157 1258 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 1259 # packages that should be included in the LaTeX output.
1159 1260
1160 1261 EXTRA_PACKAGES =
1161 1262
1162 1263 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 1264 # the generated latex document. The header should contain everything until
1164 1265 # the first chapter. If it is left blank doxygen will generate a
1165 1266 # standard header. Notice: only use this tag if you know what you are doing!
1166 1267
1167 1268 LATEX_HEADER =
1168 1269
1270 # The LATEX_FOOTER tag can be used to specify a personal LaTeX footer for
1271 # the generated latex document. The footer should contain everything after
1272 # the last chapter. If it is left blank doxygen will generate a
1273 # standard footer. Notice: only use this tag if you know what you are doing!
1274
1275 LATEX_FOOTER =
1276
1169 1277 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 1278 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 1279 # contain links (just like the HTML output) instead of page references
1172 1280 # This makes the output suitable for online browsing using a pdf viewer.
1173 1281
1174 1282 PDF_HYPERLINKS = YES
1175 1283
1176 1284 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 1285 # plain latex in the generated Makefile. Set this option to YES to get a
1178 1286 # higher quality PDF documentation.
1179 1287
1180 1288 USE_PDFLATEX = YES
1181 1289
1182 1290 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 1291 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 1292 # running if errors occur, instead of asking the user for help.
1185 1293 # This option is also used when generating formulas in HTML.
1186 1294
1187 1295 LATEX_BATCHMODE = NO
1188 1296
1189 1297 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 1298 # include the index chapters (such as File Index, Compound Index, etc.)
1191 1299 # in the output.
1192 1300
1193 1301 LATEX_HIDE_INDICES = NO
1194 1302
1195 1303 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 1304 # source code with syntax highlighting in the LaTeX output.
1197 1305 # Note that which sources are shown also depends on other settings
1198 1306 # such as SOURCE_BROWSER.
1199 1307
1200 1308 LATEX_SOURCE_CODE = NO
1201 1309
1310 # The LATEX_BIB_STYLE tag can be used to specify the style to use for the
1311 # bibliography, e.g. plainnat, or ieeetr. The default style is "plain". See
1312 # http://en.wikipedia.org/wiki/BibTeX for more info.
1313
1314 LATEX_BIB_STYLE = plain
1315
1202 1316 #---------------------------------------------------------------------------
1203 1317 # configuration options related to the RTF output
1204 1318 #---------------------------------------------------------------------------
1205 1319
1206 1320 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 1321 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 1322 # other RTF readers or editors.
1209 1323
1210 1324 GENERATE_RTF = NO
1211 1325
1212 1326 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 1327 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 1328 # put in front of it. If left blank `rtf' will be used as the default path.
1215 1329
1216 1330 RTF_OUTPUT = rtf
1217 1331
1218 1332 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 1333 # RTF documents. This may be useful for small projects and may help to
1220 1334 # save some trees in general.
1221 1335
1222 1336 COMPACT_RTF = NO
1223 1337
1224 1338 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 1339 # will contain hyperlink fields. The RTF file will
1226 1340 # contain links (just like the HTML output) instead of page references.
1227 1341 # This makes the output suitable for online browsing using WORD or other
1228 1342 # programs which support those fields.
1229 1343 # Note: wordpad (write) and others do not support links.
1230 1344
1231 1345 RTF_HYPERLINKS = NO
1232 1346
1233 1347 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 1348 # config file, i.e. a series of assignments. You only have to provide
1235 1349 # replacements, missing definitions are set to their default value.
1236 1350
1237 1351 RTF_STYLESHEET_FILE =
1238 1352
1239 1353 # Set optional variables used in the generation of an rtf document.
1240 1354 # Syntax is similar to doxygen's config file.
1241 1355
1242 1356 RTF_EXTENSIONS_FILE =
1243 1357
1244 1358 #---------------------------------------------------------------------------
1245 1359 # configuration options related to the man page output
1246 1360 #---------------------------------------------------------------------------
1247 1361
1248 1362 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 1363 # generate man pages
1250 1364
1251 1365 GENERATE_MAN = YES
1252 1366
1253 1367 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 1368 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 1369 # put in front of it. If left blank `man' will be used as the default path.
1256 1370
1257 1371 MAN_OUTPUT = man
1258 1372
1259 1373 # The MAN_EXTENSION tag determines the extension that is added to
1260 1374 # the generated man pages (default is the subroutine's section .3)
1261 1375
1262 1376 MAN_EXTENSION = .3
1263 1377
1264 1378 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 1379 # then it will generate one additional man file for each entity
1266 1380 # documented in the real man page(s). These additional files
1267 1381 # only source the real man page, but without them the man command
1268 1382 # would be unable to find the correct page. The default is NO.
1269 1383
1270 1384 MAN_LINKS = NO
1271 1385
1272 1386 #---------------------------------------------------------------------------
1273 1387 # configuration options related to the XML output
1274 1388 #---------------------------------------------------------------------------
1275 1389
1276 1390 # If the GENERATE_XML tag is set to YES Doxygen will
1277 1391 # generate an XML file that captures the structure of
1278 1392 # the code including all documentation.
1279 1393
1280 1394 GENERATE_XML = NO
1281 1395
1282 1396 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 1397 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 1398 # put in front of it. If left blank `xml' will be used as the default path.
1285 1399
1286 1400 XML_OUTPUT = xml
1287 1401
1288 1402 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 1403 # which can be used by a validating XML parser to check the
1290 1404 # syntax of the XML files.
1291 1405
1292 1406 XML_SCHEMA =
1293 1407
1294 1408 # The XML_DTD tag can be used to specify an XML DTD,
1295 1409 # which can be used by a validating XML parser to check the
1296 1410 # syntax of the XML files.
1297 1411
1298 1412 XML_DTD =
1299 1413
1300 1414 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 1415 # dump the program listings (including syntax highlighting
1302 1416 # and cross-referencing information) to the XML output. Note that
1303 1417 # enabling this will significantly increase the size of the XML output.
1304 1418
1305 1419 XML_PROGRAMLISTING = YES
1306 1420
1307 1421 #---------------------------------------------------------------------------
1308 1422 # configuration options for the AutoGen Definitions output
1309 1423 #---------------------------------------------------------------------------
1310 1424
1311 1425 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 1426 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 1427 # that captures the structure of the code including all
1314 1428 # documentation. Note that this feature is still experimental
1315 1429 # and incomplete at the moment.
1316 1430
1317 1431 GENERATE_AUTOGEN_DEF = NO
1318 1432
1319 1433 #---------------------------------------------------------------------------
1320 1434 # configuration options related to the Perl module output
1321 1435 #---------------------------------------------------------------------------
1322 1436
1323 1437 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 1438 # generate a Perl module file that captures the structure of
1325 1439 # the code including all documentation. Note that this
1326 1440 # feature is still experimental and incomplete at the
1327 1441 # moment.
1328 1442
1329 1443 GENERATE_PERLMOD = NO
1330 1444
1331 1445 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 1446 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 1447 # to generate PDF and DVI output from the Perl module output.
1334 1448
1335 1449 PERLMOD_LATEX = NO
1336 1450
1337 1451 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 1452 # nicely formatted so it can be parsed by a human reader. This is useful
1339 1453 # if you want to understand what is going on. On the other hand, if this
1340 1454 # tag is set to NO the size of the Perl module output will be much smaller
1341 1455 # and Perl will parse it just the same.
1342 1456
1343 1457 PERLMOD_PRETTY = YES
1344 1458
1345 1459 # The names of the make variables in the generated doxyrules.make file
1346 1460 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 1461 # This is useful so different doxyrules.make files included by the same
1348 1462 # Makefile don't overwrite each other's variables.
1349 1463
1350 1464 PERLMOD_MAKEVAR_PREFIX =
1351 1465
1352 1466 #---------------------------------------------------------------------------
1353 1467 # Configuration options related to the preprocessor
1354 1468 #---------------------------------------------------------------------------
1355 1469
1356 1470 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 1471 # evaluate all C-preprocessor directives found in the sources and include
1358 1472 # files.
1359 1473
1360 1474 ENABLE_PREPROCESSING = YES
1361 1475
1362 1476 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 1477 # names in the source code. If set to NO (the default) only conditional
1364 1478 # compilation will be performed. Macro expansion can be done in a controlled
1365 1479 # way by setting EXPAND_ONLY_PREDEF to YES.
1366 1480
1367 1481 MACRO_EXPANSION = NO
1368 1482
1369 1483 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 1484 # then the macro expansion is limited to the macros specified with the
1371 1485 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372 1486
1373 1487 EXPAND_ONLY_PREDEF = NO
1374 1488
1375 1489 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1490 # pointed to by INCLUDE_PATH will be searched when a #include is found.
1377 1491
1378 1492 SEARCH_INCLUDES = YES
1379 1493
1380 1494 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 1495 # contain include files that are not input files but should be processed by
1382 1496 # the preprocessor.
1383 1497
1384 1498 INCLUDE_PATH =
1385 1499
1386 1500 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 1501 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 1502 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 1503 # be used.
1390 1504
1391 1505 INCLUDE_FILE_PATTERNS =
1392 1506
1393 1507 # The PREDEFINED tag can be used to specify one or more macro names that
1394 1508 # are defined before the preprocessor is started (similar to the -D option of
1395 1509 # gcc). The argument of the tag is a list of macros of the form: name
1396 1510 # or name=definition (no spaces). If the definition and the = are
1397 1511 # omitted =1 is assumed. To prevent a macro definition from being
1398 1512 # undefined via #undef or recursively expanded use the := operator
1399 1513 # instead of the = operator.
1400 1514
1401 1515 PREDEFINED =
1402 1516
1403 1517 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 1518 # this tag can be used to specify a list of macro names that should be expanded.
1405 1519 # The macro definition that is found in the sources will be used.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1520 # Use the PREDEFINED tag if you want to use a different macro definition that
1521 # overrules the definition found in the source code.
1407 1522
1408 1523 EXPAND_AS_DEFINED =
1409 1524
1410 1525 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 # function macros are typically used for boiler-plate code, and will confuse
1414 # the parser if not removed.
1526 # doxygen's preprocessor will remove all references to function-like macros
1527 # that are alone on a line, have an all uppercase name, and do not end with a
1528 # semicolon, because these will confuse the parser if not removed.
1415 1529
1416 1530 SKIP_FUNCTION_MACROS = YES
1417 1531
1418 1532 #---------------------------------------------------------------------------
1419 1533 # Configuration::additions related to external references
1420 1534 #---------------------------------------------------------------------------
1421 1535
1422 1536 # The TAGFILES option can be used to specify one or more tagfiles.
1423 1537 # Optionally an initial location of the external documentation
1424 1538 # can be added for each tagfile. The format of a tag file without
1425 1539 # this location is as follows:
1426 1540 # TAGFILES = file1 file2 ...
1427 1541 # Adding location for the tag files is done as follows:
1428 1542 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 1543 # where "loc1" and "loc2" can be relative or absolute paths or
1430 1544 # URLs. If a location is present for each tag, the installdox tool
1431 1545 # does not have to be run to correct the links.
1432 1546 # Note that each tag file must have a unique name
1433 1547 # (where the name does NOT include the path)
1434 1548 # If a tag file is not located in the directory in which doxygen
1435 1549 # is run, you must also specify the path to the tagfile here.
1436 1550
1437 1551 TAGFILES =
1438 1552
1439 1553 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 1554 # a tag file that is based on the input files it reads.
1441 1555
1442 1556 GENERATE_TAGFILE =
1443 1557
1444 1558 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 1559 # in the class index. If set to NO only the inherited external classes
1446 1560 # will be listed.
1447 1561
1448 1562 ALLEXTERNALS = NO
1449 1563
1450 1564 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 1565 # in the modules index. If set to NO, only the current project's groups will
1452 1566 # be listed.
1453 1567
1454 1568 EXTERNAL_GROUPS = YES
1455 1569
1456 1570 # The PERL_PATH should be the absolute path and name of the perl script
1457 1571 # interpreter (i.e. the result of `which perl').
1458 1572
1459 1573 PERL_PATH = /usr/bin/perl
1460 1574
1461 1575 #---------------------------------------------------------------------------
1462 1576 # Configuration options related to the dot tool
1463 1577 #---------------------------------------------------------------------------
1464 1578
1465 1579 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 1580 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 1581 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 # fallback. It is recommended to install and use dot, since it yields more
1470 # powerful graphs.
1582 # this option also works with HAVE_DOT disabled, but it is recommended to
1583 # install and use dot, since it yields more powerful graphs.
1471 1584
1472 CLASS_DIAGRAMS = NO
1585 CLASS_DIAGRAMS = YES
1473 1586
1474 1587 # You can define message sequence charts within doxygen comments using the \msc
1475 1588 # command. Doxygen will then run the mscgen tool (see
1476 1589 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 1590 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 1591 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 1592 # default search path.
1480 1593
1481 1594 MSCGEN_PATH =
1482 1595
1483 1596 # If set to YES, the inheritance and collaboration graphs will hide
1484 1597 # inheritance and usage relations if the target is undocumented
1485 1598 # or is not a class.
1486 1599
1487 1600 HIDE_UNDOC_RELATIONS = YES
1488 1601
1489 1602 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 1603 # available from the path. This tool is part of Graphviz, a graph visualization
1491 1604 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 1605 # have no effect if this option is set to NO (the default)
1493 1606
1494 1607 HAVE_DOT = YES
1495 1608
1496 1609 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 1610 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 1611 # base this on the number of processors available in the system. You can set it
1499 1612 # explicitly to a value larger than 0 to get control over the balance
1500 1613 # between CPU load and processing speed.
1501 1614
1502 1615 DOT_NUM_THREADS = 0
1503 1616
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 # directory and reference it in all dot files that doxygen generates. This
1506 # font does not include all possible unicode characters however, so when you need
1507 # these (or just want a differently looking font) you can specify the font name
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 # which can be done by putting it in a standard location or by setting the
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 # containing the font.
1617 # By default doxygen will use the Helvetica font for all dot files that
1618 # doxygen generates. When you want a differently looking font you can specify
1619 # the font name using DOT_FONTNAME. You need to make sure dot is able to find
1620 # the font, which can be done by putting it in a standard location or by setting
1621 # the DOTFONTPATH environment variable or by setting DOT_FONTPATH to the
1622 # directory containing the font.
1512 1623
1513 1624 DOT_FONTNAME = FreeSans.ttf
1514 1625
1515 1626 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 1627 # The default size is 10pt.
1517 1628
1518 1629 DOT_FONTSIZE = 10
1519 1630
1520 # By default doxygen will tell dot to use the output directory to look for the
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 # different font using DOT_FONTNAME you can set the path where dot
1523 # can find it using this tag.
1631 # By default doxygen will tell dot to use the Helvetica font.
1632 # If you specify a different font using DOT_FONTNAME you can use DOT_FONTPATH to
1633 # set the path where dot can find it.
1524 1634
1525 1635 DOT_FONTPATH =
1526 1636
1527 1637 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 1638 # will generate a graph for each documented class showing the direct and
1529 1639 # indirect inheritance relations. Setting this tag to YES will force the
1530 1640 # the CLASS_DIAGRAMS tag to NO.
1531 1641
1532 1642 CLASS_GRAPH = YES
1533 1643
1534 1644 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 1645 # will generate a graph for each documented class showing the direct and
1536 1646 # indirect implementation dependencies (inheritance, containment, and
1537 1647 # class references variables) of the class with other documented classes.
1538 1648
1539 1649 COLLABORATION_GRAPH = NO
1540 1650
1541 1651 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 1652 # will generate a graph for groups, showing the direct groups dependencies
1543 1653
1544 1654 GROUP_GRAPHS = YES
1545 1655
1546 1656 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 1657 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 1658 # Language.
1549 1659
1550 UML_LOOK = YES
1660 UML_LOOK = NO
1551 1661
1552 1662 # If set to YES, the inheritance and collaboration graphs will show the
1553 1663 # relations between templates and their instances.
1554 1664
1555 1665 TEMPLATE_RELATIONS = NO
1556 1666
1557 1667 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 1668 # tags are set to YES then doxygen will generate a graph for each documented
1559 1669 # file showing the direct and indirect include dependencies of the file with
1560 1670 # other documented files.
1561 1671
1562 1672 INCLUDE_GRAPH = YES
1563 1673
1564 1674 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 1675 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 1676 # documented header file showing the documented files that directly or
1567 1677 # indirectly include this file.
1568 1678
1569 1679 INCLUDED_BY_GRAPH = YES
1570 1680
1571 1681 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 1682 # doxygen will generate a call dependency graph for every global function
1573 1683 # or class method. Note that enabling this option will significantly increase
1574 1684 # the time of a run. So in most cases it will be better to enable call graphs
1575 1685 # for selected functions only using the \callgraph command.
1576 1686
1577 1687 CALL_GRAPH = NO
1578 1688
1579 1689 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 1690 # doxygen will generate a caller dependency graph for every global function
1581 1691 # or class method. Note that enabling this option will significantly increase
1582 1692 # the time of a run. So in most cases it will be better to enable caller
1583 1693 # graphs for selected functions only using the \callergraph command.
1584 1694
1585 1695 CALLER_GRAPH = NO
1586 1696
1587 1697 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 # will graphical hierarchy of all classes instead of a textual one.
1698 # will generate a graphical hierarchy of all classes instead of a textual one.
1589 1699
1590 1700 GRAPHICAL_HIERARCHY = YES
1591 1701
1592 1702 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 1703 # then doxygen will show the dependencies a directory has on other directories
1594 1704 # in a graphical way. The dependency relations are determined by the #include
1595 1705 # relations between the files in the directories.
1596 1706
1597 1707 DIRECTORY_GRAPH = YES
1598 1708
1599 1709 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 # generated by dot. Possible values are png, jpg, or gif
1601 # If left blank png will be used.
1710 # generated by dot. Possible values are svg, png, jpg, or gif.
1711 # If left blank png will be used. If you choose svg you need to set
1712 # HTML_FILE_EXTENSION to xhtml in order to make the SVG files
1713 # visible in IE 9+ (other browsers do not have this requirement).
1602 1714
1603 1715 DOT_IMAGE_FORMAT = png
1604 1716
1717 # If DOT_IMAGE_FORMAT is set to svg, then this option can be set to YES to
1718 # enable generation of interactive SVG images that allow zooming and panning.
1719 # Note that this requires a modern browser other than Internet Explorer.
1720 # Tested and working are Firefox, Chrome, Safari, and Opera. For IE 9+ you
1721 # need to set HTML_FILE_EXTENSION to xhtml in order to make the SVG files
1722 # visible. Older versions of IE do not have SVG support.
1723
1724 INTERACTIVE_SVG = NO
1725
1605 1726 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 1727 # found. If left blank, it is assumed the dot tool can be found in the path.
1607 1728
1608 1729 DOT_PATH =
1609 1730
1610 1731 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 1732 # contain dot files that are included in the documentation (see the
1612 1733 # \dotfile command).
1613 1734
1614 1735 DOTFILE_DIRS =
1615 1736
1737 # The MSCFILE_DIRS tag can be used to specify one or more directories that
1738 # contain msc files that are included in the documentation (see the
1739 # \mscfile command).
1740
1741 MSCFILE_DIRS =
1742
1616 1743 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 1744 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 1745 # becomes larger than this value, doxygen will truncate the graph, which is
1619 1746 # visualized by representing a node as a red box. Note that doxygen if the
1620 1747 # number of direct children of the root node in a graph is already larger than
1621 1748 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 1749 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623 1750
1624 1751 DOT_GRAPH_MAX_NODES = 50
1625 1752
1626 1753 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 1754 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 1755 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 1756 # that lay further from the root node will be omitted. Note that setting this
1630 1757 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 1758 # code bases. Also note that the size of a graph can be further restricted by
1632 1759 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633 1760
1634 1761 MAX_DOT_GRAPH_DEPTH = 0
1635 1762
1636 1763 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 1764 # background. This is disabled by default, because dot on Windows does not
1638 1765 # seem to support this out of the box. Warning: Depending on the platform used,
1639 1766 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 1767 # a graph (i.e. they become hard to read).
1641 1768
1642 1769 DOT_TRANSPARENT = NO
1643 1770
1644 1771 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 1772 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 1773 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 1774 # support this, this feature is disabled by default.
1648 1775
1649 1776 DOT_MULTI_TARGETS = NO
1650 1777
1651 1778 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 1779 # generate a legend page explaining the meaning of the various boxes and
1653 1780 # arrows in the dot generated graphs.
1654 1781
1655 1782 GENERATE_LEGEND = YES
1656 1783
1657 1784 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 1785 # remove the intermediate dot files that are used to generate
1659 1786 # the various graphs.
1660 1787
1661 1788 DOT_CLEANUP = YES
@@ -1,275 +1,278
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 ------------------------------------------------------------------------------
19 19 -- Author : Martin Morlot
20 20 -- Mail : martin.morlot@lpp.polytechnique.fr
21 21 ------------------------------------------------------------------------------
22 22 library ieee;
23 23 use ieee.std_logic_1164.all;
24 24 library grlib;
25 25 use grlib.amba.all;
26 26 use std.textio.all;
27 27 library lpp;
28 28 use lpp.lpp_amba.all;
29 library gaisler;
30 use gaisler.misc.all;
31 use gaisler.memctrl.all;
29 32
30 33 --! Package contenant tous les programmes qui forment le composant intοΏ½grοΏ½ dans le lοΏ½on
31 34
32 35 package lpp_memory is
33 36
34 37 --===========================================================|
35 38 --=================== FIFO ComplοΏ½te =========================|
36 39 --===========================================================|
37 40
38 41 component APB_FIFO is
39 42 generic (
40 43 pindex : integer := 0;
41 44 paddr : integer := 0;
42 45 pmask : integer := 16#fff#;
43 46 pirq : integer := 0;
44 47 abits : integer := 8;
45 48 Data_sz : integer := 16;
46 49 Addr_sz : integer := 8;
47 50 addr_max_int : integer := 256);
48 51 port (
49 52 clk : in std_logic;
50 53 rst : in std_logic;
51 54 apbi : in apb_slv_in_type;
52 55 Full : out std_logic;
53 56 Empty : out std_logic;
54 57 WR : out std_logic;
55 58 RE : out std_logic;
56 59 apbo : out apb_slv_out_type
57 60 );
58 61 end component;
59 62
60 63
61 64 component ApbDriver is
62 65 generic (
63 66 pindex : integer := 0;
64 67 paddr : integer := 0;
65 68 pmask : integer := 16#fff#;
66 69 pirq : integer := 0;
67 70 abits : integer := 8;
68 71 LPP_DEVICE : integer;
69 72 Data_sz : integer := 16;
70 73 Addr_sz : integer := 8;
71 74 addr_max_int : integer := 256);
72 75 port (
73 76 clk : in std_logic;
74 77 rst : in std_logic;
75 78 ReadEnable : out std_logic;
76 79 WriteEnable : out std_logic;
77 80 FlagEmpty : in std_logic;
78 81 FlagFull : in std_logic;
79 82 ReUse : out std_logic;
80 83 Lock : out std_logic;
81 84 DataIn : out std_logic_vector(Data_sz-1 downto 0);
82 85 DataOut : in std_logic_vector(Data_sz-1 downto 0);
83 86 AddrIn : in std_logic_vector(Addr_sz-1 downto 0);
84 87 AddrOut : in std_logic_vector(Addr_sz-1 downto 0);
85 88 apbi : in apb_slv_in_type;
86 89 apbo : out apb_slv_out_type
87 90 );
88 91 end component;
89 92
90 93
91 94 component Top_FIFO is
92 95 generic(
93 96 Data_sz : integer := 16;
94 97 Addr_sz : integer := 8;
95 98 addr_max_int : integer := 256
96 99 );
97 100 port(
98 101 clk,raz : in std_logic;
99 102 flag_RE : in std_logic;
100 103 flag_WR : in std_logic;
101 104 ReUse : in std_logic;
102 105 Lock : in std_logic;
103 106 Data_in : in std_logic_vector(Data_sz-1 downto 0);
104 107 Addr_RE : out std_logic_vector(addr_sz-1 downto 0);
105 108 Addr_WR : out std_logic_vector(addr_sz-1 downto 0);
106 109 full : out std_logic;
107 110 empty : out std_logic;
108 111 Data_out : out std_logic_vector(Data_sz-1 downto 0)
109 112 );
110 113 end component;
111 114
112 115
113 116 component Fifo_Read is
114 117 generic(
115 118 Addr_sz : integer := 8;
116 119 addr_max_int : integer := 256);
117 120 port(
118 121 clk : in std_logic;
119 122 raz : in std_logic;
120 123 flag_RE : in std_logic;
121 124 ReUse : in std_logic;
122 125 Waddr : in std_logic_vector(addr_sz-1 downto 0);
123 126 empty : out std_logic;
124 127 Raddr : out std_logic_vector(addr_sz-1 downto 0)
125 128 );
126 129 end component;
127 130
128 131
129 132 component Fifo_Write is
130 133 generic(
131 134 Addr_sz : integer := 8;
132 135 addr_max_int : integer := 256);
133 136 port(
134 137 clk : in std_logic;
135 138 raz : in std_logic;
136 139 flag_WR : in std_logic;
137 140 Raddr : in std_logic_vector(addr_sz-1 downto 0);
138 141 full : out std_logic;
139 142 Waddr : out std_logic_vector(addr_sz-1 downto 0)
140 143 );
141 144 end component;
142 145
143 146
144 147 component Link_Reg is
145 148 generic(Data_sz : integer := 16);
146 149 port(
147 150 clk,raz : in std_logic;
148 151 Data_one : in std_logic_vector(Data_sz-1 downto 0);
149 152 Data_two : in std_logic_vector(Data_sz-1 downto 0);
150 153 ReUse : in std_logic;
151 154 flag_RE : in std_logic;
152 155 flag_WR : in std_logic;
153 156 empty : in std_logic;
154 157 Data_out : out std_logic_vector(Data_sz-1 downto 0)
155 158 );
156 159 end component;
157 160
158 161 --===========================================================|
159 162 --================= Demi FIFO Ecriture ======================|
160 163 --===========================================================|
161 164
162 165 component APB_FifoWrite is
163 166 generic (
164 167 pindex : integer := 0;
165 168 paddr : integer := 0;
166 169 pmask : integer := 16#fff#;
167 170 pirq : integer := 0;
168 171 abits : integer := 8;
169 172 Data_sz : integer := 16;
170 173 Addr_sz : integer := 8;
171 174 addr_max_int : integer := 256);
172 175 port (
173 176 clk : in std_logic;
174 177 rst : in std_logic;
175 178 apbi : in apb_slv_in_type;
176 179 ReadEnable : in std_logic;
177 180 Empty : out std_logic;
178 181 Full : out std_logic;
179 182 DATA : out std_logic_vector(Data_sz-1 downto 0);
180 183 apbo : out apb_slv_out_type
181 184 );
182 185 end component;
183 186
184 187
185 188 --component Top_FifoWrite is
186 189 -- generic(
187 190 -- Data_sz : integer := 16;
188 191 -- Addr_sz : integer := 8;
189 192 -- addr_max_int : integer := 256);
190 193 -- port(
191 194 -- clk : in std_logic;
192 195 -- raz : in std_logic;
193 196 -- flag_RE : in std_logic;
194 197 -- flag_WR : in std_logic;
195 198 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
196 199 -- Raddr : in std_logic_vector(addr_sz-1 downto 0);
197 200 -- full : out std_logic;
198 201 -- empty : out std_logic;
199 202 -- Waddr : out std_logic_vector(addr_sz-1 downto 0);
200 203 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
201 204 -- );
202 205 --end component;
203 206
204 207 --===========================================================|
205 208 --================== Demi FIFO Lecture ======================|
206 209 --===========================================================|
207 210
208 211 component APB_FifoRead is
209 212 generic (
210 213 pindex : integer := 0;
211 214 paddr : integer := 0;
212 215 pmask : integer := 16#fff#;
213 216 pirq : integer := 0;
214 217 abits : integer := 8;
215 218 Data_sz : integer := 16;
216 219 Addr_sz : integer := 8;
217 220 addr_max_int : integer := 256);
218 221 port (
219 222 clk : in std_logic;
220 223 rst : in std_logic;
221 224 apbi : in apb_slv_in_type;
222 225 WriteEnable : in std_logic;
223 226 Full : out std_logic;
224 227 Empty : out std_logic;
225 228 DATA : in std_logic_vector(Data_sz-1 downto 0);
226 229 apbo : out apb_slv_out_type
227 230 );
228 231 end component;
229 232
230 233
231 234 --component Top_FifoRead is
232 235 -- generic(
233 236 -- Data_sz : integer := 16;
234 237 -- Addr_sz : integer := 8;
235 238 -- addr_max_int : integer := 256);
236 239 -- port(
237 240 -- clk : in std_logic;
238 241 -- raz : in std_logic;
239 242 -- flag_RE : in std_logic;
240 243 -- flag_WR : in std_logic;
241 244 -- Data_in : in std_logic_vector(Data_sz-1 downto 0);
242 245 -- Waddr : in std_logic_vector(addr_sz-1 downto 0);
243 246 -- full : out std_logic;
244 247 -- empty : out std_logic;
245 248 -- Raddr : out std_logic_vector(addr_sz-1 downto 0);
246 249 -- Data_out : out std_logic_vector(Data_sz-1 downto 0)
247 250 -- );
248 251 --end component;
249 252
250 253 component ssram_plugin is
251 254 generic (tech : integer := 0);
252 255 port
253 256 (
254 257 clk : in std_logic;
255 258 mem_ctrlr_o : in memory_out_type;
256 259 SSRAM_CLK : out std_logic;
257 260 nBWa : out std_logic;
258 261 nBWb : out std_logic;
259 262 nBWc : out std_logic;
260 263 nBWd : out std_logic;
261 264 nBWE : out std_logic;
262 265 nADSC : out std_logic;
263 266 nADSP : out std_logic;
264 267 nADV : out std_logic;
265 268 nGW : out std_logic;
266 269 nCE1 : out std_logic;
267 270 CE2 : out std_logic;
268 271 nCE3 : out std_logic;
269 272 nOE : out std_logic;
270 273 MODE : out std_logic;
271 274 ZZ : out std_logic
272 275 );
273 276 end component;
274 277
275 278 end;
General Comments 0
You need to be logged in to leave comments. Login now