##// END OF EJS Templates
merge with last version
Alexis -
r8:3780dd548232 default
parent child
Show More
@@ -0,0 +1,66
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19
20
21 | ABOUT
22 | ~~~~~
23
24 LPP's VHD_Lib is a VHDL library, which is target independent and includes a set of scripts for integrating into
25 gaisler's grlib and use its features. For setup read instalation section.
26
27
28 | REQUIREMENTS
29 | ~~~~~~~~~~~~
30
31 To use this library you need the grlib and linux shell or mingw for windows users.
32
33
34 | PERSONALIZATION
35 | ~~~~~~~~~~~~~~~
36
37 You can add your IPs to the library, see how_to_improve.pdf(Comming soon).
38
39
40 | INSTALLATION
41 | ~~~~~~~~~~~~
42
43 To set up the VHD_Lib follow this steps:
44 -download and setup the grlib
45 -create a VARIABLE called GRLIB with value the path to the grlib folder.
46 -untar the VHD_Lib and type "make Patch-GRLIB"
47 -now you can use the grlib's Makefiles and designs.
48 Please note that if you try "make" or "make help" you will see all targets and if the GRLIB variable is correct.
49
50
51 | HACKING
52 | ~~~~~~~
53
54 The first thing you should look at is the Makefile and then all scripts in "scripts" folder.
55
56
57 | LICENSE
58 | ~~~~~~~
59
60 All the programs used by the VHD_Lib are protected by their respective
61 license. They all are free software and most of them are covered by the
62 GNU General Public License.
63
64 The VHD_Lib itself, meaning all the scripts which are used in the building
65 process, are covered by the GNU General Public License.
66
@@ -0,0 +1,87
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 09:21:03 10/19/2010
24 -- Design Name:
25 -- Module Name: FRAME_CLK_GEN - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity FRAME_CLK_GEN is
45 generic(OSC_freqKHz : integer := 50000);
46 Port ( clk : in STD_LOGIC;
47 reset : in STD_LOGIC;
48 FRAME_CLK : out STD_LOGIC);
49 end FRAME_CLK_GEN;
50
51 architecture Behavioral of FRAME_CLK_GEN is
52
53 Constant Goal_FRAME_CLK_FREQ : integer := 20;
54
55 Constant FRAME_CLK_TRIG : integer := OSC_freqKHz*500/Goal_FRAME_CLK_FREQ -1;
56
57 signal CPT : integer := 0;
58 signal FRAME_CLK_reg : std_logic :='0';
59
60 begin
61
62 FRAME_CLK <= FRAME_CLK_reg;
63
64 process(reset,clk)
65 begin
66 if reset = '0' then
67 CPT <= 0;
68 FRAME_CLK_reg <= '0';
69 elsif clk'event and clk = '1' then
70 if CPT = FRAME_CLK_TRIG then
71 CPT <= 0;
72 FRAME_CLK_reg <= not FRAME_CLK_reg;
73 else
74 CPT <= CPT + 1;
75 end if;
76 end if;
77 end process;
78 end Behavioral;
79
80
81
82
83
84
85
86
87
@@ -0,0 +1,55
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 -- Package File Template
20 --
21 -- Purpose: This package defines supplemental types, subtypes,
22 -- constants, and functions
23
24
25 library IEEE;
26 use IEEE.STD_LOGIC_1164.all;
27 library lpp;
28 use lpp.amba_lcd_16x2_ctrlr.all;
29
30
31
32 package LCD_16x2_CFG is
33
34
35 constant ClearDSPLY : std_logic_vector(7 downto 0):= X"01";
36 constant FunctionSet : std_logic_vector(7 downto 0):= X"38";
37 constant RetHome : std_logic_vector(7 downto 0):= X"02";
38 constant SetEntryMode : std_logic_vector(7 downto 0):= X"06";
39 constant DSPL_CTRL : std_logic_vector(7 downto 0):= X"0C";
40
41 constant CursorON : std_logic_vector(7 downto 0):= X"0E";
42 constant CursorOFF : std_logic_vector(7 downto 0):= X"0C";
43
44 --===========================================================|
45 --======L C D D R I V E R T I M I N G C O D E=====|
46 --===========================================================|
47
48 constant Duration_4us : std_logic_vector(1 downto 0) := "00";
49 constant Duration_100us : std_logic_vector(1 downto 0) := "01";
50 constant Duration_4ms : std_logic_vector(1 downto 0) := "10";
51 constant Duration_20ms : std_logic_vector(1 downto 0) := "11";
52
53
54 end LCD_16x2_CFG;
55
@@ -0,0 +1,67
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 10:09:57 10/13/2010
24 -- Design Name:
25 -- Module Name: LCD_2x16_DRIVER - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.all;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44
45 entity LCD_16x2_DRIVER is
46 generic(
47 OSC_Freq_MHz : integer:=60
48 );
49 Port(
50 reset : in STD_LOGIC;
51 clk : in STD_LOGIC;
52 LCD_CTRL : out LCD_DRVR_CTRL_BUSS;
53 SYNCH : out LCD_DRVR_SYNCH_BUSS;
54 DRIVER_CMD : in LCD_DRVR_CMD_BUSS
55 );
56 end LCD_16x2_DRIVER;
57
58 architecture Behavioral of LCD_16x2_DRIVER is
59
60 begin
61
62 end Behavioral;
63
64
65
66
67
@@ -0,0 +1,228
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:32:21 10/19/2010
24 -- Design Name:
25 -- Module Name: LCD_16x2_ENGINE - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41
42 library lpp;
43 use lpp.amba_lcd_16x2_ctrlr.all;
44 use lpp.LCD_16x2_CFG.all;
45
46
47 entity LCD_16x2_ENGINE is
48 generic(OSC_freqKHz : integer := 50000);
49 Port ( clk : in STD_LOGIC;
50 reset : in STD_LOGIC;
51 DATA : in std_logic_vector(16*2*8-1 downto 0);
52 CMD : in std_logic_vector(10 downto 0);
53 Exec : in std_logic;
54 Ready : out std_logic;
55 LCD_CTRL : out LCD_DRVR_CTRL_BUSS
56 );
57 end LCD_16x2_ENGINE;
58
59 architecture ar_LCD_16x2_ENGINE of LCD_16x2_ENGINE is
60
61 constant ConfigTbl : LCD_CFG_Tbl :=(ClearDSPLY,FunctionSet,DSPL_CTRL,SetEntryMode,RetHome);
62
63
64
65 signal SYNCH : LCD_DRVR_SYNCH_BUSS;
66 signal DRIVER_CMD : LCD_DRVR_CMD_BUSS;
67 signal FRAME_CLK : std_logic;
68
69 signal FRAME_CLK_reg : std_logic;
70 signal RefreshFlag : std_logic;
71 signal CMD_Flag : std_logic;
72 signal Exec_Reg : std_logic;
73
74 type state_t is (INIT0,INIT1,INIT2,IDLE,Refresh,Refresh0,Refresh1,ReturnHome,GoLine2,GoLine2_0,ExecCMD0,ExecCMD1);
75 signal state : state_t;
76 signal i : integer range 0 to 32 := 0;
77
78
79
80 begin
81
82 Driver0 : LCD_16x2_DRIVER
83 generic map(OSC_freqKHz)
84 Port map(reset,clk,LCD_CTRL,SYNCH,DRIVER_CMD);
85
86 FRAME_CLK_GEN0 : FRAME_CLK_GEN
87 generic map(OSC_freqKHz)
88 Port map( clk,reset,FRAME_CLK);
89
90
91
92 process(reset,clk)
93 begin
94 if reset = '0' then
95 state <= INIT0;
96 Ready <= '0';
97 RefreshFlag <= '0';
98 i <= 0;
99 elsif clk'event and clk ='1' then
100 FRAME_CLK_reg <= FRAME_CLK;
101 Exec_Reg <= Exec;
102
103 if FRAME_CLK_reg = '0' and FRAME_CLK = '1' then
104 RefreshFlag <= '1';
105 elsif state = Refresh or state = Refresh0 or state = Refresh1 then
106 RefreshFlag <= '0';
107 end if;
108
109 if Exec_Reg = '0' and Exec = '1' then
110 CMD_Flag <= '1';
111 elsif state = ExecCMD0 or state = ExecCMD1 then
112 CMD_Flag <= '0';
113 end if;
114
115 case state is
116 when INIT0 =>
117 if SYNCH.DRVR_READY = '1' then
118 DRIVER_CMD.Exec <= '1';
119 DRIVER_CMD.Duration <= Duration_20ms;
120 DRIVER_CMD.CMD_Data <= '0';
121 DRIVER_CMD.Word <= ConfigTbl(i);
122 i <= i + 1;
123 state <= INIT1;
124 else
125 DRIVER_CMD.Exec <= '0';
126 end if;
127 when INIT1 =>
128 state <= INIT2;
129 DRIVER_CMD.Exec <= '0';
130 when INIT2 =>
131 if SYNCH.DRVR_READY = '1' then
132 if i = 5 then
133 state <= Idle;
134 else
135 state <= INIT0;
136 end if;
137 end if;
138 when Idle=>
139 DRIVER_CMD.Exec <= '0';
140 if RefreshFlag = '1' then
141 Ready <= '0';
142 state <= Refresh;
143 elsif CMD_Flag = '1' then
144 Ready <= '0';
145 state <= ExecCMD0;
146 else
147 Ready <= '1';
148 end if;
149 i <= 0;
150 when Refresh=>
151 if SYNCH.DRVR_READY = '1' then
152 DRIVER_CMD.Exec <= '1';
153 DRIVER_CMD.Duration <= Duration_100us;
154 DRIVER_CMD.CMD_Data <= '1';
155 DRIVER_CMD.Word <= DATA(i*8+7 downto i*8);
156 i <= i + 1;
157 state <= Refresh0;
158 else
159 DRIVER_CMD.Exec <= '0';
160 end if;
161 when Refresh0=>
162 state <= Refresh1;
163 DRIVER_CMD.Exec <= '0';
164 when Refresh1=>
165 if SYNCH.DRVR_READY = '1' then
166 if i = 32 then
167 state <= ReturnHome;
168 elsif i = 16 then
169 state <= GoLine2;
170 else
171 state <= Refresh;
172 end if;
173 end if;
174
175 when ExecCMD0=>
176 if SYNCH.DRVR_READY = '1' then
177 DRIVER_CMD.Exec <= '1';
178 DRIVER_CMD.Duration <= CMD(9 downto 8);
179 DRIVER_CMD.CMD_Data <= '0';
180 DRIVER_CMD.Word <= CMD(7 downto 0);
181 state <= ExecCMD1;
182 else
183 DRIVER_CMD.Exec <= '0';
184 end if;
185
186 when ExecCMD1=>
187 state <= Idle;
188 DRIVER_CMD.Exec <= '0';
189
190 when GoLine2=>
191 if SYNCH.DRVR_READY = '1' then
192 DRIVER_CMD.Exec <= '1';
193 DRIVER_CMD.Duration <= Duration_100us;
194 DRIVER_CMD.CMD_Data <= '0';
195 DRIVER_CMD.Word <= X"C0";
196 state <= GoLine2_0;
197 else
198 DRIVER_CMD.Exec <= '0';
199 end if;
200 when GoLine2_0=>
201 state <= Refresh;
202 DRIVER_CMD.Exec <= '0';
203 when ReturnHome=>
204 if SYNCH.DRVR_READY = '1' then
205 DRIVER_CMD.Exec <= '1';
206 DRIVER_CMD.Duration <= Duration_4ms;
207 DRIVER_CMD.CMD_Data <= '0';
208 DRIVER_CMD.Word <= X"02";
209 state <= Idle;
210 else
211 DRIVER_CMD.Exec <= '0';
212 end if;
213 end case;
214 end if;
215 end process;
216
217
218 end ar_LCD_16x2_ENGINE;
219
220
221
222
223
224
225
226
227
228
@@ -0,0 +1,175
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 10:09:57 10/13/2010
24 -- Design Name:
25 -- Module Name: LCD_2x16_DRIVER - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.all;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity LCD_2x16_DRIVER is
45 generic(
46 OSC_Freq_MHz : integer:=60;
47 Refresh_RateHz : integer:=5
48 );
49 Port ( clk : in STD_LOGIC;
50 reset : in STD_LOGIC;
51 FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0);
52 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
53 LCD_RS : out STD_LOGIC;
54 LCD_RW : out STD_LOGIC;
55 LCD_E : out STD_LOGIC;
56 LCD_RET : out STD_LOGIC;
57 LCD_CS1 : out STD_LOGIC;
58 LCD_CS2 : out STD_LOGIC;
59 STATEOUT: out std_logic_vector(3 downto 0);
60 refreshPulse : out std_logic
61 );
62 end LCD_2x16_DRIVER;
63
64 architecture Behavioral of LCD_2x16_DRIVER is
65
66 type stateT is(Rst,Configure,IDLE,RefreshScreen);
67 signal state : stateT;
68
69 signal ShortTimePulse : std_logic;
70 signal MidleTimePulse : std_logic;
71 signal Refresh_RatePulse : std_logic;
72 signal Start : STD_LOGIC;
73
74 signal CFGM_LCD_RS : std_logic;
75 signal CFGM_LCD_RW : std_logic;
76 signal CFGM_LCD_E : std_logic;
77 signal CFGM_LCD_DATA : std_logic_vector(7 downto 0);
78 signal CFGM_Enable : std_logic;
79 signal CFGM_completed : std_logic;
80
81
82 signal FRMW_LCD_RS : std_logic;
83 signal FRMW_LCD_RW : std_logic;
84 signal FRMW_LCD_E : std_logic;
85 signal FRMW_LCD_DATA : std_logic_vector(7 downto 0);
86 signal FRMW_Enable : std_logic;
87 signal FRMW_completed : std_logic;
88
89 begin
90
91
92 Counter : LCD_Counter
93 generic map(OSC_Freq_MHz,Refresh_RateHz)
94 port map(reset,clk,ShortTimePulse,MidleTimePulse,Refresh_RatePulse,Start);
95
96 ConfigModule : Config_Module
97 port map(reset,clk,CFGM_LCD_RS,CFGM_LCD_RW,CFGM_LCD_E,CFGM_LCD_DATA,CFGM_Enable,CFGM_completed,MidleTimePulse);
98
99
100 FrameWriter : FRAME_WRITER
101 port map(reset,clk,FramBUFF,FRMW_LCD_DATA,FRMW_LCD_RS,FRMW_LCD_RW,FRMW_LCD_E,FRMW_Enable,FRMW_Completed,ShortTimePulse,MidleTimePulse);
102
103
104 STATEOUT(0) <= '1' when state = Rst else '0';
105 STATEOUT(1) <= '1' when state = Configure else '0';
106 STATEOUT(2) <= '1' when state = IDLE else '0';
107 STATEOUT(3) <= '1' when state = RefreshScreen else '0';
108
109
110
111 refreshPulse <= Refresh_RatePulse;
112
113 Start <= '1';
114
115 process(reset,clk)
116 begin
117 if reset = '0' then
118 LCD_data <= (others=>'0');
119 LCD_RS <= '0';
120 LCD_RW <= '0';
121 LCD_RET <= '0';
122 LCD_CS1 <= '0';
123 LCD_CS2 <= '0';
124 LCD_E <= '0';
125 state <= Rst;
126 CFGM_Enable <= '0';
127 FRMW_Enable <= '0';
128 elsif clk'event and clk ='1' then
129 case state is
130 when Rst =>
131 LCD_data <= (others=>'0');
132 LCD_RS <= '0';
133 LCD_RW <= '0';
134 LCD_E <= '0';
135 CFGM_Enable <= '1';
136 FRMW_Enable <= '0';
137 if Refresh_RatePulse = '1' then
138 state <= Configure;
139 end if;
140 when Configure =>
141 LCD_data <= CFGM_LCD_data;
142 LCD_RS <= CFGM_LCD_RS;
143 LCD_RW <= CFGM_LCD_RW;
144 LCD_E <= CFGM_LCD_E;
145 CFGM_Enable <= '0';
146 if CFGM_completed = '1' then
147 state <= IDLE;
148 end if;
149 when IDLE =>
150 if Refresh_RatePulse = '1' then
151 state <= RefreshScreen;
152 FRMW_Enable <= '1';
153 end if;
154 LCD_RS <= '0';
155 LCD_RW <= '0';
156 LCD_E <= '0';
157 LCD_data <= (others=>'0');
158 when RefreshScreen =>
159 LCD_data <= FRMW_LCD_data;
160 LCD_RS <= FRMW_LCD_RS;
161 LCD_RW <= FRMW_LCD_RW;
162 LCD_E <= FRMW_LCD_E;
163 FRMW_Enable <= '0';
164 if FRMW_completed = '1' then
165 state <= IDLE;
166 end if;
167 end case;
168 end if;
169 end process;
170 end Behavioral;
171
172
173
174
175
@@ -0,0 +1,91
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:52:25 10/18/2010
24 -- Design Name:
25 -- Module Name: LCD_CLK_GENERATOR - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity LCD_CLK_GENERATOR is
45 generic(OSC_freqKHz : integer := 50000);
46 Port ( clk : in STD_LOGIC;
47 reset : in STD_LOGIC;
48 clk_1us : out STD_LOGIC);
49 end LCD_CLK_GENERATOR;
50
51 architecture ar_LCD_CLK_GENERATOR of LCD_CLK_GENERATOR is
52
53 Constant clk_1usTRIGER : integer := (OSC_freqKHz/2000)+1;
54
55
56 signal cpt1 : integer;
57
58 signal clk_1us_int : std_logic := '0';
59
60
61 begin
62
63 clk_1us <= clk_1us_int;
64
65
66 process(reset,clk)
67 begin
68 if reset = '0' then
69 cpt1 <= 0;
70 clk_1us_int <= '0';
71 elsif clk'event and clk = '1' then
72 if cpt1 = clk_1usTRIGER then
73 clk_1us_int <= not clk_1us_int;
74 cpt1 <= 0;
75 else
76 cpt1 <= cpt1 + 1;
77 end if;
78 end if;
79 end process;
80
81
82 end ar_LCD_CLK_GENERATOR;
83
84
85
86
87
88
89
90
91
@@ -0,0 +1,124
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:44:41 10/14/2010
24 -- Design Name:
25 -- Module Name: Top_LCD - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43 use lpp.LCD_16x2_CFG.all;
44
45
46 entity AMBA_LCD_16x2_DRIVER is
47 Port ( reset : in STD_LOGIC;
48 clk : in STD_LOGIC;
49 Bp0 : in STD_LOGIC;
50 Bp1 : in STD_LOGIC;
51 Bp2 : in STD_LOGIC;
52 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
53 LCD_RS : out STD_LOGIC;
54 LCD_RW : out STD_LOGIC;
55 LCD_E : out STD_LOGIC;
56 LCD_RET : out STD_LOGIC;
57 LCD_CS1 : out STD_LOGIC;
58 LCD_CS2 : out STD_LOGIC;
59 SF_CE0 : out std_logic
60 );
61 end AMBA_LCD_16x2_DRIVER;
62
63 architecture Behavioral of AMBA_LCD_16x2_DRIVER is
64
65 signal FramBUFF : STD_LOGIC_VECTOR(16*2*8-1 downto 0);
66 signal CMD : std_logic_vector(10 downto 0);
67 signal Exec : std_logic;
68 signal Ready : std_logic;
69 signal rst : std_logic;
70 signal LCD_CTRL : LCD_DRVR_CTRL_BUSS;
71
72 begin
73
74 LCD_data <= LCD_CTRL.LCD_DATA;
75 LCD_RS <= LCD_CTRL.LCD_RS;
76 LCD_RW <= LCD_CTRL.LCD_RW;
77 LCD_E <= LCD_CTRL.LCD_E;
78
79
80 LCD_RET <= '0';
81 LCD_CS1 <= '0';
82 LCD_CS2 <= '0';
83
84 SF_CE0 <= '1';
85
86 rst <= not reset;
87
88
89
90 Driver0 : LCD_16x2_ENGINE
91 generic map(50000)
92 Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL);
93
94 FramBUFF(0*8+7 downto 0*8) <= X"41" when Bp0 = '1' else
95 X"42" when Bp1 = '1' else
96 X"43" when Bp2 = '1' else
97 X"44";
98
99 FramBUFF(1*8+7 downto 1*8)<= X"46" when Bp0 = '1' else
100 X"47" when Bp1 = '1' else
101 X"48" when Bp2 = '1' else
102 X"49";
103
104
105 CMD(9 downto 0) <= Duration_100us & CursorON when Bp0 = '1' else
106 Duration_100us & CursorOFF;
107
108
109 Exec <= Bp1;
110
111 FramBUFF(2*8+7 downto 2*8) <= X"23";
112 FramBUFF(3*8+7 downto 3*8) <= X"66";
113 FramBUFF(4*8+7 downto 4*8) <= X"67";
114 FramBUFF(5*8+7 downto 5*8) <= X"68";
115 FramBUFF(17*8+7 downto 17*8) <= X"69";
116 --FramBUFF(16*2*8-1 downto 16) <= (others => '0');
117
118 end Behavioral;
119
120
121
122
123
124
@@ -0,0 +1,37
1
2 NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
3
4 NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
5
6 NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
7
8 NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
9
10 NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I;
11 NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I;
12 NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I;
13
14 NET "LCD_data<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
15 NET "LCD_data<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
16 NET "LCD_data<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
17 NET "LCD_data<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
18 NET "LCD_data<4>" LOC = "M16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
19 NET "LCD_data<5>" LOC = "P6" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
20 NET "LCD_data<6>" LOC = "R8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
21 NET "LCD_data<7>" LOC = "T8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
22
23 NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN;
24 NET "Bp0" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN;
25 NET "Bp1" LOC = "V4" | IOSTANDARD = LVTTL | PULLDOWN;
26 NET "Bp2" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN;
27
28 net "clk" LOC = "C9" | IOSTANDARD = LVCMOS33;
29 net "clk" PERIOD = 20.0ns HIGH 40%;
30 #net "clkOUT" LOC = "N14" | IOSTANDARD = LVCMOS33;
31
32 #net "STATEOUT<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
33 #net "STATEOUT<1>" LOC = "V6" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
34 #net "STATEOUT<2>" LOC = "N12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
35 #net "STATEOUT<3>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
36
37 #net "refreshPulse" LOC = "N15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; No newline at end of file
@@ -0,0 +1,137
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19
20 library ieee;
21 use ieee.std_logic_1164.all;
22
23
24
25 package amba_lcd_16x2_ctrlr is
26
27
28 type LCD_DRVR_CTRL_BUSS is
29 record
30 LCD_RW : std_logic;
31 LCD_RS : std_logic;
32 LCD_E : std_logic;
33 LCD_DATA : std_logic_vector(7 downto 0);
34 end record;
35
36 type LCD_DRVR_SYNCH_BUSS is
37 record
38 DRVR_READY : std_logic;
39 LCD_INITIALISED : std_logic;
40 end record;
41
42
43 type LCD_DRVR_CMD_BUSS is
44 record
45 Word : std_logic_vector(7 downto 0);
46 CMD_Data : std_logic; --CMD = '0' and data = '1'
47 Exec : std_logic;
48 Duration : std_logic_vector(1 downto 0);
49 end record;
50 type LCD_CFG_Tbl is array(0 to 4) of std_logic_vector(7 downto 0);
51
52
53
54 component LCD_16x2_DRIVER is
55 generic(
56 OSC_Freq_MHz : integer:=60
57 );
58 Port ( reset : in STD_LOGIC;
59 clk : in STD_LOGIC;
60 LCD_CTRL : out LCD_DRVR_CTRL_BUSS;
61 SYNCH : out LCD_DRVR_SYNCH_BUSS;
62 DRIVER_CMD : in LCD_DRVR_CMD_BUSS
63 );
64 end component;
65
66
67
68 component amba_lcd_16x2_driver is
69 Port ( reset : in STD_LOGIC;
70 clk : in STD_LOGIC;
71 Bp0 : in STD_LOGIC;
72 Bp1 : in STD_LOGIC;
73 Bp2 : in STD_LOGIC;
74 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
75 LCD_RS : out STD_LOGIC;
76 LCD_RW : out STD_LOGIC;
77 LCD_E : out STD_LOGIC;
78 LCD_RET : out STD_LOGIC;
79 LCD_CS1 : out STD_LOGIC;
80 LCD_CS2 : out STD_LOGIC;
81 SF_CE0 : out std_logic
82 );
83 end component;
84
85
86
87 component FRAME_CLK_GEN is
88 generic(OSC_freqKHz : integer := 50000);
89 Port ( clk : in STD_LOGIC;
90 reset : in STD_LOGIC;
91 FRAME_CLK : out STD_LOGIC);
92 end component;
93
94
95
96 component LCD_2x16_DRIVER is
97 generic(
98 OSC_Freq_MHz : integer:=60;
99 Refresh_RateHz : integer:=5
100 );
101 Port ( clk : in STD_LOGIC;
102 reset : in STD_LOGIC;
103 FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0);
104 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
105 LCD_RS : out STD_LOGIC;
106 LCD_RW : out STD_LOGIC;
107 LCD_E : out STD_LOGIC;
108 LCD_RET : out STD_LOGIC;
109 LCD_CS1 : out STD_LOGIC;
110 LCD_CS2 : out STD_LOGIC;
111 STATEOUT: out std_logic_vector(3 downto 0);
112 refreshPulse : out std_logic
113 );
114 end component;
115
116
117 component LCD_CLK_GENERATOR is
118 generic(OSC_freqKHz : integer := 50000);
119 Port ( clk : in STD_LOGIC;
120 reset : in STD_LOGIC;
121 clk_1us : out STD_LOGIC);
122 end component;
123
124 component LCD_16x2_ENGINE is
125 generic(OSC_freqKHz : integer := 50000);
126 Port ( clk : in STD_LOGIC;
127 reset : in STD_LOGIC;
128 DATA : in std_logic_vector(16*2*8-1 downto 0);
129 CMD : in std_logic_vector(10 downto 0);
130 Exec : in std_logic;
131 Ready : out std_logic;
132 LCD_CTRL : out LCD_DRVR_CTRL_BUSS
133 );
134 end component;
135
136
137 end;
This diff has been collapsed as it changes many lines, (674 lines changed) Show them Hide them
@@ -0,0 +1,674
1 GNU GENERAL PUBLIC LICENSE
2 Version 3, 29 June 2007
3
4 Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
5 Everyone is permitted to copy and distribute verbatim copies
6 of this license document, but changing it is not allowed.
7
8 Preamble
9
10 The GNU General Public License is a free, copyleft license for
11 software and other kinds of works.
12
13 The licenses for most software and other practical works are designed
14 to take away your freedom to share and change the works. By contrast,
15 the GNU General Public License is intended to guarantee your freedom to
16 share and change all versions of a program--to make sure it remains free
17 software for all its users. We, the Free Software Foundation, use the
18 GNU General Public License for most of our software; it applies also to
19 any other work released this way by its authors. You can apply it to
20 your programs, too.
21
22 When we speak of free software, we are referring to freedom, not
23 price. Our General Public Licenses are designed to make sure that you
24 have the freedom to distribute copies of free software (and charge for
25 them if you wish), that you receive source code or can get it if you
26 want it, that you can change the software or use pieces of it in new
27 free programs, and that you know you can do these things.
28
29 To protect your rights, we need to prevent others from denying you
30 these rights or asking you to surrender the rights. Therefore, you have
31 certain responsibilities if you distribute copies of the software, or if
32 you modify it: responsibilities to respect the freedom of others.
33
34 For example, if you distribute copies of such a program, whether
35 gratis or for a fee, you must pass on to the recipients the same
36 freedoms that you received. You must make sure that they, too, receive
37 or can get the source code. And you must show them these terms so they
38 know their rights.
39
40 Developers that use the GNU GPL protect your rights with two steps:
41 (1) assert copyright on the software, and (2) offer you this License
42 giving you legal permission to copy, distribute and/or modify it.
43
44 For the developers' and authors' protection, the GPL clearly explains
45 that there is no warranty for this free software. For both users' and
46 authors' sake, the GPL requires that modified versions be marked as
47 changed, so that their problems will not be attributed erroneously to
48 authors of previous versions.
49
50 Some devices are designed to deny users access to install or run
51 modified versions of the software inside them, although the manufacturer
52 can do so. This is fundamentally incompatible with the aim of
53 protecting users' freedom to change the software. The systematic
54 pattern of such abuse occurs in the area of products for individuals to
55 use, which is precisely where it is most unacceptable. Therefore, we
56 have designed this version of the GPL to prohibit the practice for those
57 products. If such problems arise substantially in other domains, we
58 stand ready to extend this provision to those domains in future versions
59 of the GPL, as needed to protect the freedom of users.
60
61 Finally, every program is threatened constantly by software patents.
62 States should not allow patents to restrict development and use of
63 software on general-purpose computers, but in those that do, we wish to
64 avoid the special danger that patents applied to a free program could
65 make it effectively proprietary. To prevent this, the GPL assures that
66 patents cannot be used to render the program non-free.
67
68 The precise terms and conditions for copying, distribution and
69 modification follow.
70
71 TERMS AND CONDITIONS
72
73 0. Definitions.
74
75 "This License" refers to version 3 of the GNU General Public License.
76
77 "Copyright" also means copyright-like laws that apply to other kinds of
78 works, such as semiconductor masks.
79
80 "The Program" refers to any copyrightable work licensed under this
81 License. Each licensee is addressed as "you". "Licensees" and
82 "recipients" may be individuals or organizations.
83
84 To "modify" a work means to copy from or adapt all or part of the work
85 in a fashion requiring copyright permission, other than the making of an
86 exact copy. The resulting work is called a "modified version" of the
87 earlier work or a work "based on" the earlier work.
88
89 A "covered work" means either the unmodified Program or a work based
90 on the Program.
91
92 To "propagate" a work means to do anything with it that, without
93 permission, would make you directly or secondarily liable for
94 infringement under applicable copyright law, except executing it on a
95 computer or modifying a private copy. Propagation includes copying,
96 distribution (with or without modification), making available to the
97 public, and in some countries other activities as well.
98
99 To "convey" a work means any kind of propagation that enables other
100 parties to make or receive copies. Mere interaction with a user through
101 a computer network, with no transfer of a copy, is not conveying.
102
103 An interactive user interface displays "Appropriate Legal Notices"
104 to the extent that it includes a convenient and prominently visible
105 feature that (1) displays an appropriate copyright notice, and (2)
106 tells the user that there is no warranty for the work (except to the
107 extent that warranties are provided), that licensees may convey the
108 work under this License, and how to view a copy of this License. If
109 the interface presents a list of user commands or options, such as a
110 menu, a prominent item in the list meets this criterion.
111
112 1. Source Code.
113
114 The "source code" for a work means the preferred form of the work
115 for making modifications to it. "Object code" means any non-source
116 form of a work.
117
118 A "Standard Interface" means an interface that either is an official
119 standard defined by a recognized standards body, or, in the case of
120 interfaces specified for a particular programming language, one that
121 is widely used among developers working in that language.
122
123 The "System Libraries" of an executable work include anything, other
124 than the work as a whole, that (a) is included in the normal form of
125 packaging a Major Component, but which is not part of that Major
126 Component, and (b) serves only to enable use of the work with that
127 Major Component, or to implement a Standard Interface for which an
128 implementation is available to the public in source code form. A
129 "Major Component", in this context, means a major essential component
130 (kernel, window system, and so on) of the specific operating system
131 (if any) on which the executable work runs, or a compiler used to
132 produce the work, or an object code interpreter used to run it.
133
134 The "Corresponding Source" for a work in object code form means all
135 the source code needed to generate, install, and (for an executable
136 work) run the object code and to modify the work, including scripts to
137 control those activities. However, it does not include the work's
138 System Libraries, or general-purpose tools or generally available free
139 programs which are used unmodified in performing those activities but
140 which are not part of the work. For example, Corresponding Source
141 includes interface definition files associated with source files for
142 the work, and the source code for shared libraries and dynamically
143 linked subprograms that the work is specifically designed to require,
144 such as by intimate data communication or control flow between those
145 subprograms and other parts of the work.
146
147 The Corresponding Source need not include anything that users
148 can regenerate automatically from other parts of the Corresponding
149 Source.
150
151 The Corresponding Source for a work in source code form is that
152 same work.
153
154 2. Basic Permissions.
155
156 All rights granted under this License are granted for the term of
157 copyright on the Program, and are irrevocable provided the stated
158 conditions are met. This License explicitly affirms your unlimited
159 permission to run the unmodified Program. The output from running a
160 covered work is covered by this License only if the output, given its
161 content, constitutes a covered work. This License acknowledges your
162 rights of fair use or other equivalent, as provided by copyright law.
163
164 You may make, run and propagate covered works that you do not
165 convey, without conditions so long as your license otherwise remains
166 in force. You may convey covered works to others for the sole purpose
167 of having them make modifications exclusively for you, or provide you
168 with facilities for running those works, provided that you comply with
169 the terms of this License in conveying all material for which you do
170 not control copyright. Those thus making or running the covered works
171 for you must do so exclusively on your behalf, under your direction
172 and control, on terms that prohibit them from making any copies of
173 your copyrighted material outside their relationship with you.
174
175 Conveying under any other circumstances is permitted solely under
176 the conditions stated below. Sublicensing is not allowed; section 10
177 makes it unnecessary.
178
179 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
180
181 No covered work shall be deemed part of an effective technological
182 measure under any applicable law fulfilling obligations under article
183 11 of the WIPO copyright treaty adopted on 20 December 1996, or
184 similar laws prohibiting or restricting circumvention of such
185 measures.
186
187 When you convey a covered work, you waive any legal power to forbid
188 circumvention of technological measures to the extent such circumvention
189 is effected by exercising rights under this License with respect to
190 the covered work, and you disclaim any intention to limit operation or
191 modification of the work as a means of enforcing, against the work's
192 users, your or third parties' legal rights to forbid circumvention of
193 technological measures.
194
195 4. Conveying Verbatim Copies.
196
197 You may convey verbatim copies of the Program's source code as you
198 receive it, in any medium, provided that you conspicuously and
199 appropriately publish on each copy an appropriate copyright notice;
200 keep intact all notices stating that this License and any
201 non-permissive terms added in accord with section 7 apply to the code;
202 keep intact all notices of the absence of any warranty; and give all
203 recipients a copy of this License along with the Program.
204
205 You may charge any price or no price for each copy that you convey,
206 and you may offer support or warranty protection for a fee.
207
208 5. Conveying Modified Source Versions.
209
210 You may convey a work based on the Program, or the modifications to
211 produce it from the Program, in the form of source code under the
212 terms of section 4, provided that you also meet all of these conditions:
213
214 a) The work must carry prominent notices stating that you modified
215 it, and giving a relevant date.
216
217 b) The work must carry prominent notices stating that it is
218 released under this License and any conditions added under section
219 7. This requirement modifies the requirement in section 4 to
220 "keep intact all notices".
221
222 c) You must license the entire work, as a whole, under this
223 License to anyone who comes into possession of a copy. This
224 License will therefore apply, along with any applicable section 7
225 additional terms, to the whole of the work, and all its parts,
226 regardless of how they are packaged. This License gives no
227 permission to license the work in any other way, but it does not
228 invalidate such permission if you have separately received it.
229
230 d) If the work has interactive user interfaces, each must display
231 Appropriate Legal Notices; however, if the Program has interactive
232 interfaces that do not display Appropriate Legal Notices, your
233 work need not make them do so.
234
235 A compilation of a covered work with other separate and independent
236 works, which are not by their nature extensions of the covered work,
237 and which are not combined with it such as to form a larger program,
238 in or on a volume of a storage or distribution medium, is called an
239 "aggregate" if the compilation and its resulting copyright are not
240 used to limit the access or legal rights of the compilation's users
241 beyond what the individual works permit. Inclusion of a covered work
242 in an aggregate does not cause this License to apply to the other
243 parts of the aggregate.
244
245 6. Conveying Non-Source Forms.
246
247 You may convey a covered work in object code form under the terms
248 of sections 4 and 5, provided that you also convey the
249 machine-readable Corresponding Source under the terms of this License,
250 in one of these ways:
251
252 a) Convey the object code in, or embodied in, a physical product
253 (including a physical distribution medium), accompanied by the
254 Corresponding Source fixed on a durable physical medium
255 customarily used for software interchange.
256
257 b) Convey the object code in, or embodied in, a physical product
258 (including a physical distribution medium), accompanied by a
259 written offer, valid for at least three years and valid for as
260 long as you offer spare parts or customer support for that product
261 model, to give anyone who possesses the object code either (1) a
262 copy of the Corresponding Source for all the software in the
263 product that is covered by this License, on a durable physical
264 medium customarily used for software interchange, for a price no
265 more than your reasonable cost of physically performing this
266 conveying of source, or (2) access to copy the
267 Corresponding Source from a network server at no charge.
268
269 c) Convey individual copies of the object code with a copy of the
270 written offer to provide the Corresponding Source. This
271 alternative is allowed only occasionally and noncommercially, and
272 only if you received the object code with such an offer, in accord
273 with subsection 6b.
274
275 d) Convey the object code by offering access from a designated
276 place (gratis or for a charge), and offer equivalent access to the
277 Corresponding Source in the same way through the same place at no
278 further charge. You need not require recipients to copy the
279 Corresponding Source along with the object code. If the place to
280 copy the object code is a network server, the Corresponding Source
281 may be on a different server (operated by you or a third party)
282 that supports equivalent copying facilities, provided you maintain
283 clear directions next to the object code saying where to find the
284 Corresponding Source. Regardless of what server hosts the
285 Corresponding Source, you remain obligated to ensure that it is
286 available for as long as needed to satisfy these requirements.
287
288 e) Convey the object code using peer-to-peer transmission, provided
289 you inform other peers where the object code and Corresponding
290 Source of the work are being offered to the general public at no
291 charge under subsection 6d.
292
293 A separable portion of the object code, whose source code is excluded
294 from the Corresponding Source as a System Library, need not be
295 included in conveying the object code work.
296
297 A "User Product" is either (1) a "consumer product", which means any
298 tangible personal property which is normally used for personal, family,
299 or household purposes, or (2) anything designed or sold for incorporation
300 into a dwelling. In determining whether a product is a consumer product,
301 doubtful cases shall be resolved in favor of coverage. For a particular
302 product received by a particular user, "normally used" refers to a
303 typical or common use of that class of product, regardless of the status
304 of the particular user or of the way in which the particular user
305 actually uses, or expects or is expected to use, the product. A product
306 is a consumer product regardless of whether the product has substantial
307 commercial, industrial or non-consumer uses, unless such uses represent
308 the only significant mode of use of the product.
309
310 "Installation Information" for a User Product means any methods,
311 procedures, authorization keys, or other information required to install
312 and execute modified versions of a covered work in that User Product from
313 a modified version of its Corresponding Source. The information must
314 suffice to ensure that the continued functioning of the modified object
315 code is in no case prevented or interfered with solely because
316 modification has been made.
317
318 If you convey an object code work under this section in, or with, or
319 specifically for use in, a User Product, and the conveying occurs as
320 part of a transaction in which the right of possession and use of the
321 User Product is transferred to the recipient in perpetuity or for a
322 fixed term (regardless of how the transaction is characterized), the
323 Corresponding Source conveyed under this section must be accompanied
324 by the Installation Information. But this requirement does not apply
325 if neither you nor any third party retains the ability to install
326 modified object code on the User Product (for example, the work has
327 been installed in ROM).
328
329 The requirement to provide Installation Information does not include a
330 requirement to continue to provide support service, warranty, or updates
331 for a work that has been modified or installed by the recipient, or for
332 the User Product in which it has been modified or installed. Access to a
333 network may be denied when the modification itself materially and
334 adversely affects the operation of the network or violates the rules and
335 protocols for communication across the network.
336
337 Corresponding Source conveyed, and Installation Information provided,
338 in accord with this section must be in a format that is publicly
339 documented (and with an implementation available to the public in
340 source code form), and must require no special password or key for
341 unpacking, reading or copying.
342
343 7. Additional Terms.
344
345 "Additional permissions" are terms that supplement the terms of this
346 License by making exceptions from one or more of its conditions.
347 Additional permissions that are applicable to the entire Program shall
348 be treated as though they were included in this License, to the extent
349 that they are valid under applicable law. If additional permissions
350 apply only to part of the Program, that part may be used separately
351 under those permissions, but the entire Program remains governed by
352 this License without regard to the additional permissions.
353
354 When you convey a copy of a covered work, you may at your option
355 remove any additional permissions from that copy, or from any part of
356 it. (Additional permissions may be written to require their own
357 removal in certain cases when you modify the work.) You may place
358 additional permissions on material, added by you to a covered work,
359 for which you have or can give appropriate copyright permission.
360
361 Notwithstanding any other provision of this License, for material you
362 add to a covered work, you may (if authorized by the copyright holders of
363 that material) supplement the terms of this License with terms:
364
365 a) Disclaiming warranty or limiting liability differently from the
366 terms of sections 15 and 16 of this License; or
367
368 b) Requiring preservation of specified reasonable legal notices or
369 author attributions in that material or in the Appropriate Legal
370 Notices displayed by works containing it; or
371
372 c) Prohibiting misrepresentation of the origin of that material, or
373 requiring that modified versions of such material be marked in
374 reasonable ways as different from the original version; or
375
376 d) Limiting the use for publicity purposes of names of licensors or
377 authors of the material; or
378
379 e) Declining to grant rights under trademark law for use of some
380 trade names, trademarks, or service marks; or
381
382 f) Requiring indemnification of licensors and authors of that
383 material by anyone who conveys the material (or modified versions of
384 it) with contractual assumptions of liability to the recipient, for
385 any liability that these contractual assumptions directly impose on
386 those licensors and authors.
387
388 All other non-permissive additional terms are considered "further
389 restrictions" within the meaning of section 10. If the Program as you
390 received it, or any part of it, contains a notice stating that it is
391 governed by this License along with a term that is a further
392 restriction, you may remove that term. If a license document contains
393 a further restriction but permits relicensing or conveying under this
394 License, you may add to a covered work material governed by the terms
395 of that license document, provided that the further restriction does
396 not survive such relicensing or conveying.
397
398 If you add terms to a covered work in accord with this section, you
399 must place, in the relevant source files, a statement of the
400 additional terms that apply to those files, or a notice indicating
401 where to find the applicable terms.
402
403 Additional terms, permissive or non-permissive, may be stated in the
404 form of a separately written license, or stated as exceptions;
405 the above requirements apply either way.
406
407 8. Termination.
408
409 You may not propagate or modify a covered work except as expressly
410 provided under this License. Any attempt otherwise to propagate or
411 modify it is void, and will automatically terminate your rights under
412 this License (including any patent licenses granted under the third
413 paragraph of section 11).
414
415 However, if you cease all violation of this License, then your
416 license from a particular copyright holder is reinstated (a)
417 provisionally, unless and until the copyright holder explicitly and
418 finally terminates your license, and (b) permanently, if the copyright
419 holder fails to notify you of the violation by some reasonable means
420 prior to 60 days after the cessation.
421
422 Moreover, your license from a particular copyright holder is
423 reinstated permanently if the copyright holder notifies you of the
424 violation by some reasonable means, this is the first time you have
425 received notice of violation of this License (for any work) from that
426 copyright holder, and you cure the violation prior to 30 days after
427 your receipt of the notice.
428
429 Termination of your rights under this section does not terminate the
430 licenses of parties who have received copies or rights from you under
431 this License. If your rights have been terminated and not permanently
432 reinstated, you do not qualify to receive new licenses for the same
433 material under section 10.
434
435 9. Acceptance Not Required for Having Copies.
436
437 You are not required to accept this License in order to receive or
438 run a copy of the Program. Ancillary propagation of a covered work
439 occurring solely as a consequence of using peer-to-peer transmission
440 to receive a copy likewise does not require acceptance. However,
441 nothing other than this License grants you permission to propagate or
442 modify any covered work. These actions infringe copyright if you do
443 not accept this License. Therefore, by modifying or propagating a
444 covered work, you indicate your acceptance of this License to do so.
445
446 10. Automatic Licensing of Downstream Recipients.
447
448 Each time you convey a covered work, the recipient automatically
449 receives a license from the original licensors, to run, modify and
450 propagate that work, subject to this License. You are not responsible
451 for enforcing compliance by third parties with this License.
452
453 An "entity transaction" is a transaction transferring control of an
454 organization, or substantially all assets of one, or subdividing an
455 organization, or merging organizations. If propagation of a covered
456 work results from an entity transaction, each party to that
457 transaction who receives a copy of the work also receives whatever
458 licenses to the work the party's predecessor in interest had or could
459 give under the previous paragraph, plus a right to possession of the
460 Corresponding Source of the work from the predecessor in interest, if
461 the predecessor has it or can get it with reasonable efforts.
462
463 You may not impose any further restrictions on the exercise of the
464 rights granted or affirmed under this License. For example, you may
465 not impose a license fee, royalty, or other charge for exercise of
466 rights granted under this License, and you may not initiate litigation
467 (including a cross-claim or counterclaim in a lawsuit) alleging that
468 any patent claim is infringed by making, using, selling, offering for
469 sale, or importing the Program or any portion of it.
470
471 11. Patents.
472
473 A "contributor" is a copyright holder who authorizes use under this
474 License of the Program or a work on which the Program is based. The
475 work thus licensed is called the contributor's "contributor version".
476
477 A contributor's "essential patent claims" are all patent claims
478 owned or controlled by the contributor, whether already acquired or
479 hereafter acquired, that would be infringed by some manner, permitted
480 by this License, of making, using, or selling its contributor version,
481 but do not include claims that would be infringed only as a
482 consequence of further modification of the contributor version. For
483 purposes of this definition, "control" includes the right to grant
484 patent sublicenses in a manner consistent with the requirements of
485 this License.
486
487 Each contributor grants you a non-exclusive, worldwide, royalty-free
488 patent license under the contributor's essential patent claims, to
489 make, use, sell, offer for sale, import and otherwise run, modify and
490 propagate the contents of its contributor version.
491
492 In the following three paragraphs, a "patent license" is any express
493 agreement or commitment, however denominated, not to enforce a patent
494 (such as an express permission to practice a patent or covenant not to
495 sue for patent infringement). To "grant" such a patent license to a
496 party means to make such an agreement or commitment not to enforce a
497 patent against the party.
498
499 If you convey a covered work, knowingly relying on a patent license,
500 and the Corresponding Source of the work is not available for anyone
501 to copy, free of charge and under the terms of this License, through a
502 publicly available network server or other readily accessible means,
503 then you must either (1) cause the Corresponding Source to be so
504 available, or (2) arrange to deprive yourself of the benefit of the
505 patent license for this particular work, or (3) arrange, in a manner
506 consistent with the requirements of this License, to extend the patent
507 license to downstream recipients. "Knowingly relying" means you have
508 actual knowledge that, but for the patent license, your conveying the
509 covered work in a country, or your recipient's use of the covered work
510 in a country, would infringe one or more identifiable patents in that
511 country that you have reason to believe are valid.
512
513 If, pursuant to or in connection with a single transaction or
514 arrangement, you convey, or propagate by procuring conveyance of, a
515 covered work, and grant a patent license to some of the parties
516 receiving the covered work authorizing them to use, propagate, modify
517 or convey a specific copy of the covered work, then the patent license
518 you grant is automatically extended to all recipients of the covered
519 work and works based on it.
520
521 A patent license is "discriminatory" if it does not include within
522 the scope of its coverage, prohibits the exercise of, or is
523 conditioned on the non-exercise of one or more of the rights that are
524 specifically granted under this License. You may not convey a covered
525 work if you are a party to an arrangement with a third party that is
526 in the business of distributing software, under which you make payment
527 to the third party based on the extent of your activity of conveying
528 the work, and under which the third party grants, to any of the
529 parties who would receive the covered work from you, a discriminatory
530 patent license (a) in connection with copies of the covered work
531 conveyed by you (or copies made from those copies), or (b) primarily
532 for and in connection with specific products or compilations that
533 contain the covered work, unless you entered into that arrangement,
534 or that patent license was granted, prior to 28 March 2007.
535
536 Nothing in this License shall be construed as excluding or limiting
537 any implied license or other defenses to infringement that may
538 otherwise be available to you under applicable patent law.
539
540 12. No Surrender of Others' Freedom.
541
542 If conditions are imposed on you (whether by court order, agreement or
543 otherwise) that contradict the conditions of this License, they do not
544 excuse you from the conditions of this License. If you cannot convey a
545 covered work so as to satisfy simultaneously your obligations under this
546 License and any other pertinent obligations, then as a consequence you may
547 not convey it at all. For example, if you agree to terms that obligate you
548 to collect a royalty for further conveying from those to whom you convey
549 the Program, the only way you could satisfy both those terms and this
550 License would be to refrain entirely from conveying the Program.
551
552 13. Use with the GNU Affero General Public License.
553
554 Notwithstanding any other provision of this License, you have
555 permission to link or combine any covered work with a work licensed
556 under version 3 of the GNU Affero General Public License into a single
557 combined work, and to convey the resulting work. The terms of this
558 License will continue to apply to the part which is the covered work,
559 but the special requirements of the GNU Affero General Public License,
560 section 13, concerning interaction through a network will apply to the
561 combination as such.
562
563 14. Revised Versions of this License.
564
565 The Free Software Foundation may publish revised and/or new versions of
566 the GNU General Public License from time to time. Such new versions will
567 be similar in spirit to the present version, but may differ in detail to
568 address new problems or concerns.
569
570 Each version is given a distinguishing version number. If the
571 Program specifies that a certain numbered version of the GNU General
572 Public License "or any later version" applies to it, you have the
573 option of following the terms and conditions either of that numbered
574 version or of any later version published by the Free Software
575 Foundation. If the Program does not specify a version number of the
576 GNU General Public License, you may choose any version ever published
577 by the Free Software Foundation.
578
579 If the Program specifies that a proxy can decide which future
580 versions of the GNU General Public License can be used, that proxy's
581 public statement of acceptance of a version permanently authorizes you
582 to choose that version for the Program.
583
584 Later license versions may give you additional or different
585 permissions. However, no additional obligations are imposed on any
586 author or copyright holder as a result of your choosing to follow a
587 later version.
588
589 15. Disclaimer of Warranty.
590
591 THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
592 APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
593 HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
594 OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
595 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
596 PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
597 IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
598 ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
599
600 16. Limitation of Liability.
601
602 IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
603 WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
604 THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
605 GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
606 USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
607 DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
608 PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
609 EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
610 SUCH DAMAGES.
611
612 17. Interpretation of Sections 15 and 16.
613
614 If the disclaimer of warranty and limitation of liability provided
615 above cannot be given local legal effect according to their terms,
616 reviewing courts shall apply local law that most closely approximates
617 an absolute waiver of all civil liability in connection with the
618 Program, unless a warranty or assumption of liability accompanies a
619 copy of the Program in return for a fee.
620
621 END OF TERMS AND CONDITIONS
622
623 How to Apply These Terms to Your New Programs
624
625 If you develop a new program, and you want it to be of the greatest
626 possible use to the public, the best way to achieve this is to make it
627 free software which everyone can redistribute and change under these terms.
628
629 To do so, attach the following notices to the program. It is safest
630 to attach them to the start of each source file to most effectively
631 state the exclusion of warranty; and each file should have at least
632 the "copyright" line and a pointer to where the full notice is found.
633
634 <one line to give the program's name and a brief idea of what it does.>
635 Copyright (C) <year> <name of author>
636
637 This program is free software: you can redistribute it and/or modify
638 it under the terms of the GNU General Public License as published by
639 the Free Software Foundation, either version 3 of the License, or
640 (at your option) any later version.
641
642 This program is distributed in the hope that it will be useful,
643 but WITHOUT ANY WARRANTY; without even the implied warranty of
644 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
645 GNU General Public License for more details.
646
647 You should have received a copy of the GNU General Public License
648 along with this program. If not, see <http://www.gnu.org/licenses/>.
649
650 Also add information on how to contact you by electronic and paper mail.
651
652 If the program does terminal interaction, make it output a short
653 notice like this when it starts in an interactive mode:
654
655 <program> Copyright (C) <year> <name of author>
656 This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
657 This is free software, and you are welcome to redistribute it
658 under certain conditions; type `show c' for details.
659
660 The hypothetical commands `show w' and `show c' should show the appropriate
661 parts of the General Public License. Of course, your program's commands
662 might be different; for a GUI interface, you would use an "about box".
663
664 You should also get your employer (if you work as a programmer) or school,
665 if any, to sign a "copyright disclaimer" for the program, if necessary.
666 For more information on this, and how to apply and follow the GNU GPL, see
667 <http://www.gnu.org/licenses/>.
668
669 The GNU General Public License does not permit incorporating your program
670 into proprietary programs. If your program is a subroutine library, you
671 may consider it more useful to permit linking proprietary applications with
672 the library. If this is what you want to do, use the GNU Lesser General
673 Public License instead of this License. But first, please read
674 <http://www.gnu.org/philosophy/why-not-lgpl.html>.
@@ -0,0 +1,18
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
@@ -0,0 +1,72
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP GPL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35
36 case $1 in
37 -R | --recursive )
38 for file in $(find . -name '*.vhd')
39 do
40 if(grep -q "This program is free software" $file); then
41 echo "$file already contains GPL HEADER"
42 else
43 echo "Modifying file : $file"
44 more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp
45 cat $file >> $file.tmp
46 mv $file.tmp $file
47 fi
48 done
49 ;;
50 -h | --help | --h | -help)
51 echo 'Help:
52 This script add a GPL HEADER in all vhdl files.
53
54 -R or --recurcive:
55 Analyse recurcively folders starting from $LPP_PATCHPATH'
56 ;;
57 * )
58 for file in $(ls *.vhd)
59 do
60 if(grep -q "This program is free software" $file); then
61 echo "$file already contains GPL HEADER"
62 else
63 echo "Modifying file : $file"
64 more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp
65 cat $file >> $file.tmp
66 mv $file.tmp $file
67 fi
68 done
69 ;;
70
71 esac
72
@@ -0,0 +1,72
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP GPL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35
36 case $1 in
37 -R | --recursive )
38 for file in $(find . -name '*.vhd')
39 do
40 if(grep -q "This program is free software" $file); then
41 echo "$file already contains GPL HEADER"
42 else
43 echo "Modifying file : $file"
44 more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp
45 cat $file >> $file.tmp
46 mv $file.tmp $file
47 fi
48 done
49 ;;
50 -h | --help | --h | -help)
51 echo 'Help:
52 This script add a GPL HEADER in all vhdl files.
53
54 -R or --recurcive:
55 Analyse recurcively folders starting from $LPP_PATCHPATH'
56 ;;
57 * )
58 for file in $(ls *.vhd)
59 do
60 if(grep -q "This program is free software" $file); then
61 echo "$file already contains GPL HEADER"
62 else
63 echo "Modifying file : $file"
64 more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp
65 cat $file >> $file.tmp
66 mv $file.tmp $file
67 fi
68 done
69 ;;
70
71 esac
72
@@ -0,0 +1,50
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP VHDL lib makeDirs "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29
30 LPP_PATCHPATH=`pwd -L`
31
32 cd $LPP_PATCHPATH/lib/lpp
33
34
35 #find . -type d|grep ./>$LPP_PATCHPATH/lib/lpp/dirs.txt
36
37 rm $LPP_PATCHPATH/lib/lpp/dirs.txt
38
39 for folders in $(find . -type d|grep ./)
40 do
41 echo "enter folder : $folders"
42 files=$(ls $folders|grep .vhd)
43 if(ls $folders|grep .vhd|grep -i -v .html|grep -i -v .tex); then
44 echo "found $files"
45 echo $folders>>$LPP_PATCHPATH/lib/lpp/dirs.txt
46 fi
47 done
48
49
50 cd $LPP_PATCHPATH
@@ -0,0 +1,89
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB GLOBAL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '------------------------------------------------------------------------------
7 -- This file is a part of the LPP VHDL IP LIBRARY
8 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
9 --
10 -- This program is free software; you can redistribute it and/or modify
11 -- it under the terms of the GNU General Public License as published by
12 -- the Free Software Foundation; either version 2 of the License, or
13 -- (at your option) any later version.
14 --
15 -- This program is distributed in the hope that it will be useful,
16 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
17 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 -- GNU General Public License for more details.
19 --
20 -- You should have received a copy of the GNU General Public License
21 -- along with this program; if not, write to the Free Software
22 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 -------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35 GRLIBPATH=$1
36
37
38 if [ -d "$GRLIBPATH" ]; then
39 if [ -d "$GRLIBPATH/lib" ]; then
40 if [ -d "$GRLIBPATH/designs" ]; then
41 if [ -d "$GRLIBPATH/boards" ]; then
42 #PATCH /lib
43 echo "patch /lib"
44 echo
45
46 sh scripts/patchlibs.sh $GRLIBPATH
47
48 #PATCH /boards
49 echo "patch /boards"
50 echo
51 sh scripts/patchboards.sh $GRLIBPATH
52
53 #PATCH /designs
54 echo "patch /designs"
55 echo
56 sh scripts/patchdesigns.sh $GRLIBPATH
57
58 echo
59 echo
60
61 #CLEAN
62 echo "CLEANING .."
63 rm -v $1/lib/*.sh
64 rm -v $1/lib/TODO
65 rm -v $1/lib/Makefile
66 rm -v $1/lib/log.txt
67 echo
68 echo
69 echo
70 else
71 echo "I can't find GRLIB in $1"
72 fi
73
74 else
75 echo "I can't find GRLIB in $1"
76 fi
77 else
78 echo "I can't find GRLIB in $1"
79 fi
80
81 else
82 echo "I can't find GRLIB in $1"
83 fi
84
85
86
87
88
89
@@ -0,0 +1,48
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB Boards PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching boards..."
32 echo
33 echo
34
35 #COPY
36 echo "Copy boards Files..."
37 cp -R -v $LPP_LIBPATH/boards $1
38 echo
39 echo
40 echo
41
42
43 #CLEAN
44 echo "CLEANING .."
45 rm -v $1/boards/*.sh
46 echo
47 echo
48 echo
@@ -0,0 +1,49
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB Designs PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching designs..."
32 echo
33 echo
34
35 #COPY
36 echo "Copy designs Files..."
37 cp -R -v $LPP_LIBPATH/designs $1
38 echo
39 echo
40 echo
41
42
43 #CLEAN
44 echo "CLEANING .."
45 rm -v $1/designs/*.sh
46 echo
47 echo
48 echo
49
@@ -0,0 +1,64
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB IPs PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching Grlib..."
32 echo
33 echo
34
35 #COPY
36 echo "Remove old lib Files..."
37 rm -R -v $1/lib/lpp
38 echo "Copy lib Files..."
39 cp -R -v $LPP_LIBPATH/lib $1
40 echo
41 echo
42 echo
43
44
45 #PATCH libs.txt
46 echo "Patch $1/lib/libs.txt..."
47 if(grep -q lpp $1/lib/libs.txt); then
48 echo "No need to Patch $1/lib/libs.txt..."
49 else
50 echo lpp>>$1/lib/libs.txt
51 fi
52
53 echo
54 echo
55 echo
56
57 #CLEAN
58 echo "CLEANING .."
59 rm -v $1/lib/*.sh
60 rm -v $1/lib/GPL_HEADER
61 echo
62 echo
63 echo
64
@@ -0,0 +1,61
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP vhdlsyn PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35 cd $LPP_PATCHPATH/lib/lpp
36
37 echo `pwd -L`
38
39 case $1 in
40 -h | --help | --h | -help)
41 echo 'Help:
42 This script add all non testbensh VHDL files in vhdlsyn.txt file of each folder.'
43 ;;
44 * )
45 for folders in $(find . -type d|grep ./)
46 do
47 echo "enter folder : $folders"
48 files=$(ls $folders | grep .vhd | grep -i -v "test")
49 echo "found $files"
50 rm $folders/vhdlsyn.txt
51 for file in $files
52 do
53 echo "$file">>$folders/vhdlsyn.txt
54 done
55 done
56 ;;
57
58 esac
59
60 cd $LPP_PATCHPATH
61
@@ -1,37 +1,47
1 SCRIPTSDIR=scripts/
2 LIBDIR=lib/
3 BOARDSDIR=boards/
4 DESIGNSDIR=designs/
5
6
7
8
9
10
1 all: help
11 all: help
2
12
3 help:
13 help:
4 @echo
14 @echo
5 @echo " batch targets:"
15 @echo " batch targets:"
6 @echo
16 @echo
7 @echo " make Patch-GRLIB : install library into $(GRLIB)"
17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
8 @echo " make dist : create a tar file for using into an other computer"
18 @echo " make dist : create a tar file for using into an other computer"
9 @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer"
19 @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer"
10 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
20 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
11 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
21 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
12 @echo " make doc : make documentation for VHDL IPs"
22 @echo " make doc : make documentation for VHDL IPs"
13 @echo
23 @echo
14
24
15 allGPL:
25 allGPL:
16 sh lib/GPL_Patcher.sh -R
26 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R
17
27
18 init: allGPL
28 init: allGPL
19 sh lib/lpp/vhdlsynPatcher.sh
29 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
20 sh lib/lpp/makeDirs.sh lib/lpp
30 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
21
31
22
32
23 Patch-GRLIB: init
33 Patch-GRLIB: init doc
24 sh patch.sh $(GRLIB)
34 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
25
35
26
36
27 dist: init
37 dist: init
28 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
38 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
29
39
30 Patched-dist: Patch-GRLIB
40 Patched-dist: Patch-GRLIB
31 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
41 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
32
42
33
43
34 doc:
44 doc:
35 doxygen lib/lpp/Doxyfile
45 doxygen lib/lpp/Doxyfile
36 make lib/lpp/doc/latex
46 make lib/lpp/doc/latex
37 cp lib/lpp/doc/latex/refman.pdf lib/lpp/doc/VHD_lib.pdf
47 cp lib/lpp/doc/latex/refman.pdf lib/lpp/doc/VHD_lib.pdf
@@ -1,3 +1,5
1 Write a README
1 x patch VENDOR Ids
2
2 x Write a README
3
3 x add app_simple_diode
4 x add LCD_16x2_DRIVER.vhd
5 Write how_to_improve.pdf
@@ -1,1661 +1,1661
1 # Doxyfile 1.7.1
1 # Doxyfile 1.7.1
2
2
3 # This file describes the settings to be used by the documentation system
3 # This file describes the settings to be used by the documentation system
4 # doxygen (www.doxygen.org) for a project
4 # doxygen (www.doxygen.org) for a project
5 #
5 #
6 # All text after a hash (#) is considered a comment and will be ignored
6 # All text after a hash (#) is considered a comment and will be ignored
7 # The format is:
7 # The format is:
8 # TAG = value [value, ...]
8 # TAG = value [value, ...]
9 # For lists items can also be appended using:
9 # For lists items can also be appended using:
10 # TAG += value [value, ...]
10 # TAG += value [value, ...]
11 # Values that contain spaces should be placed between quotes (" ")
11 # Values that contain spaces should be placed between quotes (" ")
12
12
13 #---------------------------------------------------------------------------
13 #---------------------------------------------------------------------------
14 # Project related configuration options
14 # Project related configuration options
15 #---------------------------------------------------------------------------
15 #---------------------------------------------------------------------------
16
16
17 # This tag specifies the encoding used for all characters in the config file
17 # This tag specifies the encoding used for all characters in the config file
18 # that follow. The default is UTF-8 which is also the encoding used for all
18 # that follow. The default is UTF-8 which is also the encoding used for all
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 # iconv built into libc) for the transcoding. See
20 # iconv built into libc) for the transcoding. See
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22
22
23 DOXYFILE_ENCODING = UTF-8
23 DOXYFILE_ENCODING = UTF-8
24
24
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 # by quotes) that should identify the project.
26 # by quotes) that should identify the project.
27
27
28 PROJECT_NAME = lib-lpp
28 PROJECT_NAME = lib-lpp
29
29
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 # This could be handy for archiving the generated documentation or
31 # This could be handy for archiving the generated documentation or
32 # if some version control system is used.
32 # if some version control system is used.
33
33
34 PROJECT_NUMBER = 1.0
34 PROJECT_NUMBER = 1.0
35
35
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 # base path where the generated documentation will be put.
37 # base path where the generated documentation will be put.
38 # If a relative path is entered, it will be relative to the location
38 # If a relative path is entered, it will be relative to the location
39 # where doxygen was started. If left blank the current directory will be used.
39 # where doxygen was started. If left blank the current directory will be used.
40
40
41 OUTPUT_DIRECTORY = doc
41 OUTPUT_DIRECTORY = ../../doc/
42
42
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 # format and will distribute the generated files over these directories.
45 # format and will distribute the generated files over these directories.
46 # Enabling this option can be useful when feeding doxygen a huge amount of
46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 # source files, where putting all generated files in the same directory would
47 # source files, where putting all generated files in the same directory would
48 # otherwise cause performance problems for the file system.
48 # otherwise cause performance problems for the file system.
49
49
50 CREATE_SUBDIRS = NO
50 CREATE_SUBDIRS = NO
51
51
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 # documentation generated by doxygen is written. Doxygen will use this
53 # documentation generated by doxygen is written. Doxygen will use this
54 # information to generate all constant output in the proper language.
54 # information to generate all constant output in the proper language.
55 # The default language is English, other supported languages are:
55 # The default language is English, other supported languages are:
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62
62
63 OUTPUT_LANGUAGE = English
63 OUTPUT_LANGUAGE = English
64
64
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 # include brief member descriptions after the members that are listed in
66 # include brief member descriptions after the members that are listed in
67 # the file and class documentation (similar to JavaDoc).
67 # the file and class documentation (similar to JavaDoc).
68 # Set to NO to disable this.
68 # Set to NO to disable this.
69
69
70 BRIEF_MEMBER_DESC = YES
70 BRIEF_MEMBER_DESC = YES
71
71
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 # the brief description of a member or function before the detailed description.
73 # the brief description of a member or function before the detailed description.
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 # brief descriptions will be completely suppressed.
75 # brief descriptions will be completely suppressed.
76
76
77 REPEAT_BRIEF = YES
77 REPEAT_BRIEF = YES
78
78
79 # This tag implements a quasi-intelligent brief description abbreviator
79 # This tag implements a quasi-intelligent brief description abbreviator
80 # that is used to form the text in various listings. Each string
80 # that is used to form the text in various listings. Each string
81 # in this list, if found as the leading text of the brief description, will be
81 # in this list, if found as the leading text of the brief description, will be
82 # stripped from the text and the result after processing the whole list, is
82 # stripped from the text and the result after processing the whole list, is
83 # used as the annotated text. Otherwise, the brief description is used as-is.
83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 # If left blank, the following values are used ("$name" is automatically
84 # If left blank, the following values are used ("$name" is automatically
85 # replaced with the name of the entity): "The $name class" "The $name widget"
85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 # "The $name file" "is" "provides" "specifies" "contains"
86 # "The $name file" "is" "provides" "specifies" "contains"
87 # "represents" "a" "an" "the"
87 # "represents" "a" "an" "the"
88
88
89 ABBREVIATE_BRIEF = "The $name class" \
89 ABBREVIATE_BRIEF = "The $name class" \
90 "The $name widget" \
90 "The $name widget" \
91 "The $name file" \
91 "The $name file" \
92 is \
92 is \
93 provides \
93 provides \
94 specifies \
94 specifies \
95 contains \
95 contains \
96 represents \
96 represents \
97 a \
97 a \
98 an \
98 an \
99 the
99 the
100
100
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 # Doxygen will generate a detailed section even if there is only a brief
102 # Doxygen will generate a detailed section even if there is only a brief
103 # description.
103 # description.
104
104
105 ALWAYS_DETAILED_SEC = NO
105 ALWAYS_DETAILED_SEC = NO
106
106
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 # inherited members of a class in the documentation of that class as if those
108 # inherited members of a class in the documentation of that class as if those
109 # members were ordinary class members. Constructors, destructors and assignment
109 # members were ordinary class members. Constructors, destructors and assignment
110 # operators of the base classes will not be shown.
110 # operators of the base classes will not be shown.
111
111
112 INLINE_INHERITED_MEMB = NO
112 INLINE_INHERITED_MEMB = NO
113
113
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 # path before files name in the file list and in the header files. If set
115 # path before files name in the file list and in the header files. If set
116 # to NO the shortest path that makes the file name unique will be used.
116 # to NO the shortest path that makes the file name unique will be used.
117
117
118 FULL_PATH_NAMES = YES
118 FULL_PATH_NAMES = YES
119
119
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 # can be used to strip a user-defined part of the path. Stripping is
121 # can be used to strip a user-defined part of the path. Stripping is
122 # only done if one of the specified strings matches the left-hand part of
122 # only done if one of the specified strings matches the left-hand part of
123 # the path. The tag can be used to show relative paths in the file list.
123 # the path. The tag can be used to show relative paths in the file list.
124 # If left blank the directory from which doxygen is run is used as the
124 # If left blank the directory from which doxygen is run is used as the
125 # path to strip.
125 # path to strip.
126
126
127 STRIP_FROM_PATH =
127 STRIP_FROM_PATH =
128
128
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 # the path mentioned in the documentation of a class, which tells
130 # the path mentioned in the documentation of a class, which tells
131 # the reader which header file to include in order to use a class.
131 # the reader which header file to include in order to use a class.
132 # If left blank only the name of the header file containing the class
132 # If left blank only the name of the header file containing the class
133 # definition is used. Otherwise one should specify the include paths that
133 # definition is used. Otherwise one should specify the include paths that
134 # are normally passed to the compiler using the -I flag.
134 # are normally passed to the compiler using the -I flag.
135
135
136 STRIP_FROM_INC_PATH =
136 STRIP_FROM_INC_PATH =
137
137
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 # (but less readable) file names. This can be useful is your file systems
139 # (but less readable) file names. This can be useful is your file systems
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141
141
142 SHORT_NAMES = NO
142 SHORT_NAMES = NO
143
143
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 # will interpret the first line (until the first dot) of a JavaDoc-style
145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 # comment as the brief description. If set to NO, the JavaDoc
146 # comment as the brief description. If set to NO, the JavaDoc
147 # comments will behave just like regular Qt-style comments
147 # comments will behave just like regular Qt-style comments
148 # (thus requiring an explicit @brief command for a brief description.)
148 # (thus requiring an explicit @brief command for a brief description.)
149
149
150 JAVADOC_AUTOBRIEF = NO
150 JAVADOC_AUTOBRIEF = NO
151
151
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 # interpret the first line (until the first dot) of a Qt-style
153 # interpret the first line (until the first dot) of a Qt-style
154 # comment as the brief description. If set to NO, the comments
154 # comment as the brief description. If set to NO, the comments
155 # will behave just like regular Qt-style comments (thus requiring
155 # will behave just like regular Qt-style comments (thus requiring
156 # an explicit \brief command for a brief description.)
156 # an explicit \brief command for a brief description.)
157
157
158 QT_AUTOBRIEF = NO
158 QT_AUTOBRIEF = NO
159
159
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 # comments) as a brief description. This used to be the default behaviour.
162 # comments) as a brief description. This used to be the default behaviour.
163 # The new default is to treat a multi-line C++ comment block as a detailed
163 # The new default is to treat a multi-line C++ comment block as a detailed
164 # description. Set this tag to YES if you prefer the old behaviour instead.
164 # description. Set this tag to YES if you prefer the old behaviour instead.
165
165
166 MULTILINE_CPP_IS_BRIEF = NO
166 MULTILINE_CPP_IS_BRIEF = NO
167
167
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 # member inherits the documentation from any documented member that it
169 # member inherits the documentation from any documented member that it
170 # re-implements.
170 # re-implements.
171
171
172 INHERIT_DOCS = YES
172 INHERIT_DOCS = YES
173
173
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 # a new page for each member. If set to NO, the documentation of a member will
175 # a new page for each member. If set to NO, the documentation of a member will
176 # be part of the file/class/namespace that contains it.
176 # be part of the file/class/namespace that contains it.
177
177
178 SEPARATE_MEMBER_PAGES = NO
178 SEPARATE_MEMBER_PAGES = NO
179
179
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182
182
183 TAB_SIZE = 8
183 TAB_SIZE = 8
184
184
185 # This tag can be used to specify a number of aliases that acts
185 # This tag can be used to specify a number of aliases that acts
186 # as commands in the documentation. An alias has the form "name=value".
186 # as commands in the documentation. An alias has the form "name=value".
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 # will result in a user-defined paragraph with heading "Side Effects:".
189 # will result in a user-defined paragraph with heading "Side Effects:".
190 # You can put \n's in the value part of an alias to insert newlines.
190 # You can put \n's in the value part of an alias to insert newlines.
191
191
192 ALIASES =
192 ALIASES =
193
193
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 # sources only. Doxygen will then generate output that is more tailored for C.
195 # sources only. Doxygen will then generate output that is more tailored for C.
196 # For instance, some of the names that are used will be different. The list
196 # For instance, some of the names that are used will be different. The list
197 # of all members will be omitted, etc.
197 # of all members will be omitted, etc.
198
198
199 OPTIMIZE_OUTPUT_FOR_C = NO
199 OPTIMIZE_OUTPUT_FOR_C = NO
200
200
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 # sources only. Doxygen will then generate output that is more tailored for
202 # sources only. Doxygen will then generate output that is more tailored for
203 # Java. For instance, namespaces will be presented as packages, qualified
203 # Java. For instance, namespaces will be presented as packages, qualified
204 # scopes will look different, etc.
204 # scopes will look different, etc.
205
205
206 OPTIMIZE_OUTPUT_JAVA = NO
206 OPTIMIZE_OUTPUT_JAVA = NO
207
207
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 # sources only. Doxygen will then generate output that is more tailored for
209 # sources only. Doxygen will then generate output that is more tailored for
210 # Fortran.
210 # Fortran.
211
211
212 OPTIMIZE_FOR_FORTRAN = NO
212 OPTIMIZE_FOR_FORTRAN = NO
213
213
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 # sources. Doxygen will then generate output that is tailored for
215 # sources. Doxygen will then generate output that is tailored for
216 # VHDL.
216 # VHDL.
217
217
218 OPTIMIZE_OUTPUT_VHDL = YES
218 OPTIMIZE_OUTPUT_VHDL = YES
219
219
220 # Doxygen selects the parser to use depending on the extension of the files it
220 # Doxygen selects the parser to use depending on the extension of the files it
221 # parses. With this tag you can assign which parser to use for a given extension.
221 # parses. With this tag you can assign which parser to use for a given extension.
222 # Doxygen has a built-in mapping, but you can override or extend it using this
222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 # tag. The format is ext=language, where ext is a file extension, and language
223 # tag. The format is ext=language, where ext is a file extension, and language
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229
229
230 EXTENSION_MAPPING =
230 EXTENSION_MAPPING =
231
231
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 # to include (a tag file for) the STL sources as input, then you should
233 # to include (a tag file for) the STL sources as input, then you should
234 # set this tag to YES in order to let doxygen match functions declarations and
234 # set this tag to YES in order to let doxygen match functions declarations and
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 # func(std::string) {}). This also make the inheritance and collaboration
236 # func(std::string) {}). This also make the inheritance and collaboration
237 # diagrams that involve STL classes more complete and accurate.
237 # diagrams that involve STL classes more complete and accurate.
238
238
239 BUILTIN_STL_SUPPORT = NO
239 BUILTIN_STL_SUPPORT = NO
240
240
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 # enable parsing support.
242 # enable parsing support.
243
243
244 CPP_CLI_SUPPORT = NO
244 CPP_CLI_SUPPORT = NO
245
245
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 # Doxygen will parse them like normal C++ but will assume all classes use public
247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 # instead of private inheritance when no explicit protection keyword is present.
248 # instead of private inheritance when no explicit protection keyword is present.
249
249
250 SIP_SUPPORT = NO
250 SIP_SUPPORT = NO
251
251
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 # and setter methods for a property. Setting this option to YES (the default)
253 # and setter methods for a property. Setting this option to YES (the default)
254 # will make doxygen to replace the get and set methods by a property in the
254 # will make doxygen to replace the get and set methods by a property in the
255 # documentation. This will only work if the methods are indeed getting or
255 # documentation. This will only work if the methods are indeed getting or
256 # setting a simple type. If this is not the case, or you want to show the
256 # setting a simple type. If this is not the case, or you want to show the
257 # methods anyway, you should set this option to NO.
257 # methods anyway, you should set this option to NO.
258
258
259 IDL_PROPERTY_SUPPORT = YES
259 IDL_PROPERTY_SUPPORT = YES
260
260
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 # tag is set to YES, then doxygen will reuse the documentation of the first
262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 # member in the group (if any) for the other members of the group. By default
263 # member in the group (if any) for the other members of the group. By default
264 # all members of a group must be documented explicitly.
264 # all members of a group must be documented explicitly.
265
265
266 DISTRIBUTE_GROUP_DOC = NO
266 DISTRIBUTE_GROUP_DOC = NO
267
267
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 # the same type (for instance a group of public functions) to be put as a
269 # the same type (for instance a group of public functions) to be put as a
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 # the \nosubgrouping command.
272 # the \nosubgrouping command.
273
273
274 SUBGROUPING = YES
274 SUBGROUPING = YES
275
275
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 # is documented as struct, union, or enum with the name of the typedef. So
277 # is documented as struct, union, or enum with the name of the typedef. So
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 # namespace, or class. And the struct will be named TypeS. This can typically
280 # namespace, or class. And the struct will be named TypeS. This can typically
281 # be useful for C code in case the coding convention dictates that all compound
281 # be useful for C code in case the coding convention dictates that all compound
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283
283
284 TYPEDEF_HIDES_STRUCT = NO
284 TYPEDEF_HIDES_STRUCT = NO
285
285
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 # determine which symbols to keep in memory and which to flush to disk.
287 # determine which symbols to keep in memory and which to flush to disk.
288 # When the cache is full, less often used symbols will be written to disk.
288 # When the cache is full, less often used symbols will be written to disk.
289 # For small to medium size projects (<1000 input files) the default value is
289 # For small to medium size projects (<1000 input files) the default value is
290 # probably good enough. For larger projects a too small cache size can cause
290 # probably good enough. For larger projects a too small cache size can cause
291 # doxygen to be busy swapping symbols to and from disk most of the time
291 # doxygen to be busy swapping symbols to and from disk most of the time
292 # causing a significant performance penality.
292 # causing a significant performance penality.
293 # If the system has enough physical memory increasing the cache will improve the
293 # If the system has enough physical memory increasing the cache will improve the
294 # performance by keeping more symbols in memory. Note that the value works on
294 # performance by keeping more symbols in memory. Note that the value works on
295 # a logarithmic scale so increasing the size by one will rougly double the
295 # a logarithmic scale so increasing the size by one will rougly double the
296 # memory usage. The cache size is given by this formula:
296 # memory usage. The cache size is given by this formula:
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 # corresponding to a cache size of 2^16 = 65536 symbols
298 # corresponding to a cache size of 2^16 = 65536 symbols
299
299
300 SYMBOL_CACHE_SIZE = 0
300 SYMBOL_CACHE_SIZE = 0
301
301
302 #---------------------------------------------------------------------------
302 #---------------------------------------------------------------------------
303 # Build related configuration options
303 # Build related configuration options
304 #---------------------------------------------------------------------------
304 #---------------------------------------------------------------------------
305
305
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 # documentation are documented, even if no documentation was available.
307 # documentation are documented, even if no documentation was available.
308 # Private class members and static file members will be hidden unless
308 # Private class members and static file members will be hidden unless
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310
310
311 EXTRACT_ALL = YES
311 EXTRACT_ALL = YES
312
312
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 # will be included in the documentation.
314 # will be included in the documentation.
315
315
316 EXTRACT_PRIVATE = NO
316 EXTRACT_PRIVATE = NO
317
317
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 # will be included in the documentation.
319 # will be included in the documentation.
320
320
321 EXTRACT_STATIC = NO
321 EXTRACT_STATIC = NO
322
322
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 # defined locally in source files will be included in the documentation.
324 # defined locally in source files will be included in the documentation.
325 # If set to NO only classes defined in header files are included.
325 # If set to NO only classes defined in header files are included.
326
326
327 EXTRACT_LOCAL_CLASSES = YES
327 EXTRACT_LOCAL_CLASSES = YES
328
328
329 # This flag is only useful for Objective-C code. When set to YES local
329 # This flag is only useful for Objective-C code. When set to YES local
330 # methods, which are defined in the implementation section but not in
330 # methods, which are defined in the implementation section but not in
331 # the interface are included in the documentation.
331 # the interface are included in the documentation.
332 # If set to NO (the default) only methods in the interface are included.
332 # If set to NO (the default) only methods in the interface are included.
333
333
334 EXTRACT_LOCAL_METHODS = NO
334 EXTRACT_LOCAL_METHODS = NO
335
335
336 # If this flag is set to YES, the members of anonymous namespaces will be
336 # If this flag is set to YES, the members of anonymous namespaces will be
337 # extracted and appear in the documentation as a namespace called
337 # extracted and appear in the documentation as a namespace called
338 # 'anonymous_namespace{file}', where file will be replaced with the base
338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 # name of the file that contains the anonymous namespace. By default
339 # name of the file that contains the anonymous namespace. By default
340 # anonymous namespace are hidden.
340 # anonymous namespace are hidden.
341
341
342 EXTRACT_ANON_NSPACES = NO
342 EXTRACT_ANON_NSPACES = NO
343
343
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 # undocumented members of documented classes, files or namespaces.
345 # undocumented members of documented classes, files or namespaces.
346 # If set to NO (the default) these members will be included in the
346 # If set to NO (the default) these members will be included in the
347 # various overviews, but no documentation section is generated.
347 # various overviews, but no documentation section is generated.
348 # This option has no effect if EXTRACT_ALL is enabled.
348 # This option has no effect if EXTRACT_ALL is enabled.
349
349
350 HIDE_UNDOC_MEMBERS = NO
350 HIDE_UNDOC_MEMBERS = NO
351
351
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 # undocumented classes that are normally visible in the class hierarchy.
353 # undocumented classes that are normally visible in the class hierarchy.
354 # If set to NO (the default) these classes will be included in the various
354 # If set to NO (the default) these classes will be included in the various
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356
356
357 HIDE_UNDOC_CLASSES = NO
357 HIDE_UNDOC_CLASSES = NO
358
358
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 # friend (class|struct|union) declarations.
360 # friend (class|struct|union) declarations.
361 # If set to NO (the default) these declarations will be included in the
361 # If set to NO (the default) these declarations will be included in the
362 # documentation.
362 # documentation.
363
363
364 HIDE_FRIEND_COMPOUNDS = NO
364 HIDE_FRIEND_COMPOUNDS = NO
365
365
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 # documentation blocks found inside the body of a function.
367 # documentation blocks found inside the body of a function.
368 # If set to NO (the default) these blocks will be appended to the
368 # If set to NO (the default) these blocks will be appended to the
369 # function's detailed documentation block.
369 # function's detailed documentation block.
370
370
371 HIDE_IN_BODY_DOCS = NO
371 HIDE_IN_BODY_DOCS = NO
372
372
373 # The INTERNAL_DOCS tag determines if documentation
373 # The INTERNAL_DOCS tag determines if documentation
374 # that is typed after a \internal command is included. If the tag is set
374 # that is typed after a \internal command is included. If the tag is set
375 # to NO (the default) then the documentation will be excluded.
375 # to NO (the default) then the documentation will be excluded.
376 # Set it to YES to include the internal documentation.
376 # Set it to YES to include the internal documentation.
377
377
378 INTERNAL_DOCS = NO
378 INTERNAL_DOCS = NO
379
379
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 # file names in lower-case letters. If set to YES upper-case letters are also
381 # file names in lower-case letters. If set to YES upper-case letters are also
382 # allowed. This is useful if you have classes or files whose names only differ
382 # allowed. This is useful if you have classes or files whose names only differ
383 # in case and if your file system supports case sensitive file names. Windows
383 # in case and if your file system supports case sensitive file names. Windows
384 # and Mac users are advised to set this option to NO.
384 # and Mac users are advised to set this option to NO.
385
385
386 CASE_SENSE_NAMES = NO
386 CASE_SENSE_NAMES = NO
387
387
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 # will show members with their full class and namespace scopes in the
389 # will show members with their full class and namespace scopes in the
390 # documentation. If set to YES the scope will be hidden.
390 # documentation. If set to YES the scope will be hidden.
391
391
392 HIDE_SCOPE_NAMES = NO
392 HIDE_SCOPE_NAMES = NO
393
393
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 # will put a list of the files that are included by a file in the documentation
395 # will put a list of the files that are included by a file in the documentation
396 # of that file.
396 # of that file.
397
397
398 SHOW_INCLUDE_FILES = YES
398 SHOW_INCLUDE_FILES = YES
399
399
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 # will list include files with double quotes in the documentation
401 # will list include files with double quotes in the documentation
402 # rather than with sharp brackets.
402 # rather than with sharp brackets.
403
403
404 FORCE_LOCAL_INCLUDES = NO
404 FORCE_LOCAL_INCLUDES = NO
405
405
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 # is inserted in the documentation for inline members.
407 # is inserted in the documentation for inline members.
408
408
409 INLINE_INFO = YES
409 INLINE_INFO = YES
410
410
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 # will sort the (detailed) documentation of file and class members
412 # will sort the (detailed) documentation of file and class members
413 # alphabetically by member name. If set to NO the members will appear in
413 # alphabetically by member name. If set to NO the members will appear in
414 # declaration order.
414 # declaration order.
415
415
416 SORT_MEMBER_DOCS = YES
416 SORT_MEMBER_DOCS = YES
417
417
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 # brief documentation of file, namespace and class members alphabetically
419 # brief documentation of file, namespace and class members alphabetically
420 # by member name. If set to NO (the default) the members will appear in
420 # by member name. If set to NO (the default) the members will appear in
421 # declaration order.
421 # declaration order.
422
422
423 SORT_BRIEF_DOCS = NO
423 SORT_BRIEF_DOCS = NO
424
424
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 # will sort the (brief and detailed) documentation of class members so that
426 # will sort the (brief and detailed) documentation of class members so that
427 # constructors and destructors are listed first. If set to NO (the default)
427 # constructors and destructors are listed first. If set to NO (the default)
428 # the constructors will appear in the respective orders defined by
428 # the constructors will appear in the respective orders defined by
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432
432
433 SORT_MEMBERS_CTORS_1ST = NO
433 SORT_MEMBERS_CTORS_1ST = NO
434
434
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 # the group names will appear in their defined order.
437 # the group names will appear in their defined order.
438
438
439 SORT_GROUP_NAMES = NO
439 SORT_GROUP_NAMES = NO
440
440
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 # sorted by fully-qualified names, including namespaces. If set to
442 # sorted by fully-qualified names, including namespaces. If set to
443 # NO (the default), the class list will be sorted only by class name,
443 # NO (the default), the class list will be sorted only by class name,
444 # not including the namespace part.
444 # not including the namespace part.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 # Note: This option applies only to the class list, not to the
446 # Note: This option applies only to the class list, not to the
447 # alphabetical list.
447 # alphabetical list.
448
448
449 SORT_BY_SCOPE_NAME = NO
449 SORT_BY_SCOPE_NAME = NO
450
450
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 # disable (NO) the todo list. This list is created by putting \todo
452 # disable (NO) the todo list. This list is created by putting \todo
453 # commands in the documentation.
453 # commands in the documentation.
454
454
455 GENERATE_TODOLIST = YES
455 GENERATE_TODOLIST = YES
456
456
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 # disable (NO) the test list. This list is created by putting \test
458 # disable (NO) the test list. This list is created by putting \test
459 # commands in the documentation.
459 # commands in the documentation.
460
460
461 GENERATE_TESTLIST = YES
461 GENERATE_TESTLIST = YES
462
462
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 # disable (NO) the bug list. This list is created by putting \bug
464 # disable (NO) the bug list. This list is created by putting \bug
465 # commands in the documentation.
465 # commands in the documentation.
466
466
467 GENERATE_BUGLIST = YES
467 GENERATE_BUGLIST = YES
468
468
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 # disable (NO) the deprecated list. This list is created by putting
470 # disable (NO) the deprecated list. This list is created by putting
471 # \deprecated commands in the documentation.
471 # \deprecated commands in the documentation.
472
472
473 GENERATE_DEPRECATEDLIST= YES
473 GENERATE_DEPRECATEDLIST= YES
474
474
475 # The ENABLED_SECTIONS tag can be used to enable conditional
475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 # documentation sections, marked by \if sectionname ... \endif.
476 # documentation sections, marked by \if sectionname ... \endif.
477
477
478 ENABLED_SECTIONS =
478 ENABLED_SECTIONS =
479
479
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 # the initial value of a variable or define consists of for it to appear in
481 # the initial value of a variable or define consists of for it to appear in
482 # the documentation. If the initializer consists of more lines than specified
482 # the documentation. If the initializer consists of more lines than specified
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 # The appearance of the initializer of individual variables and defines in the
484 # The appearance of the initializer of individual variables and defines in the
485 # documentation can be controlled using \showinitializer or \hideinitializer
485 # documentation can be controlled using \showinitializer or \hideinitializer
486 # command in the documentation regardless of this setting.
486 # command in the documentation regardless of this setting.
487
487
488 MAX_INITIALIZER_LINES = 30
488 MAX_INITIALIZER_LINES = 30
489
489
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 # at the bottom of the documentation of classes and structs. If set to YES the
491 # at the bottom of the documentation of classes and structs. If set to YES the
492 # list will mention the files that were used to generate the documentation.
492 # list will mention the files that were used to generate the documentation.
493
493
494 SHOW_USED_FILES = YES
494 SHOW_USED_FILES = YES
495
495
496 # If the sources in your project are distributed over multiple directories
496 # If the sources in your project are distributed over multiple directories
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 # in the documentation. The default is NO.
498 # in the documentation. The default is NO.
499
499
500 SHOW_DIRECTORIES = NO
500 SHOW_DIRECTORIES = NO
501
501
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 # This will remove the Files entry from the Quick Index and from the
503 # This will remove the Files entry from the Quick Index and from the
504 # Folder Tree View (if specified). The default is YES.
504 # Folder Tree View (if specified). The default is YES.
505
505
506 SHOW_FILES = YES
506 SHOW_FILES = YES
507
507
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 # and from the Folder Tree View (if specified). The default is YES.
510 # and from the Folder Tree View (if specified). The default is YES.
511
511
512 SHOW_NAMESPACES = YES
512 SHOW_NAMESPACES = YES
513
513
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 # doxygen should invoke to get the current version for each file (typically from
515 # doxygen should invoke to get the current version for each file (typically from
516 # the version control system). Doxygen will invoke the program by executing (via
516 # the version control system). Doxygen will invoke the program by executing (via
517 # popen()) the command <command> <input-file>, where <command> is the value of
517 # popen()) the command <command> <input-file>, where <command> is the value of
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 # provided by doxygen. Whatever the program writes to standard output
519 # provided by doxygen. Whatever the program writes to standard output
520 # is used as the file version. See the manual for examples.
520 # is used as the file version. See the manual for examples.
521
521
522 FILE_VERSION_FILTER =
522 FILE_VERSION_FILTER =
523
523
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 # by doxygen. The layout file controls the global structure of the generated
525 # by doxygen. The layout file controls the global structure of the generated
526 # output files in an output format independent way. The create the layout file
526 # output files in an output format independent way. The create the layout file
527 # that represents doxygen's defaults, run doxygen with the -l option.
527 # that represents doxygen's defaults, run doxygen with the -l option.
528 # You can optionally specify a file name after the option, if omitted
528 # You can optionally specify a file name after the option, if omitted
529 # DoxygenLayout.xml will be used as the name of the layout file.
529 # DoxygenLayout.xml will be used as the name of the layout file.
530
530
531 LAYOUT_FILE =
531 LAYOUT_FILE =
532
532
533 #---------------------------------------------------------------------------
533 #---------------------------------------------------------------------------
534 # configuration options related to warning and progress messages
534 # configuration options related to warning and progress messages
535 #---------------------------------------------------------------------------
535 #---------------------------------------------------------------------------
536
536
537 # The QUIET tag can be used to turn on/off the messages that are generated
537 # The QUIET tag can be used to turn on/off the messages that are generated
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539
539
540 QUIET = NO
540 QUIET = NO
541
541
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 # generated by doxygen. Possible values are YES and NO. If left blank
543 # generated by doxygen. Possible values are YES and NO. If left blank
544 # NO is used.
544 # NO is used.
545
545
546 WARNINGS = YES
546 WARNINGS = YES
547
547
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 # automatically be disabled.
550 # automatically be disabled.
551
551
552 WARN_IF_UNDOCUMENTED = YES
552 WARN_IF_UNDOCUMENTED = YES
553
553
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 # potential errors in the documentation, such as not documenting some
555 # potential errors in the documentation, such as not documenting some
556 # parameters in a documented function, or documenting parameters that
556 # parameters in a documented function, or documenting parameters that
557 # don't exist or using markup commands wrongly.
557 # don't exist or using markup commands wrongly.
558
558
559 WARN_IF_DOC_ERROR = YES
559 WARN_IF_DOC_ERROR = YES
560
560
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 # functions that are documented, but have no documentation for their parameters
562 # functions that are documented, but have no documentation for their parameters
563 # or return value. If set to NO (the default) doxygen will only warn about
563 # or return value. If set to NO (the default) doxygen will only warn about
564 # wrong or incomplete parameter documentation, but not about the absence of
564 # wrong or incomplete parameter documentation, but not about the absence of
565 # documentation.
565 # documentation.
566
566
567 WARN_NO_PARAMDOC = NO
567 WARN_NO_PARAMDOC = NO
568
568
569 # The WARN_FORMAT tag determines the format of the warning messages that
569 # The WARN_FORMAT tag determines the format of the warning messages that
570 # doxygen can produce. The string should contain the $file, $line, and $text
570 # doxygen can produce. The string should contain the $file, $line, and $text
571 # tags, which will be replaced by the file and line number from which the
571 # tags, which will be replaced by the file and line number from which the
572 # warning originated and the warning text. Optionally the format may contain
572 # warning originated and the warning text. Optionally the format may contain
573 # $version, which will be replaced by the version of the file (if it could
573 # $version, which will be replaced by the version of the file (if it could
574 # be obtained via FILE_VERSION_FILTER)
574 # be obtained via FILE_VERSION_FILTER)
575
575
576 WARN_FORMAT = "$file:$line: $text"
576 WARN_FORMAT = "$file:$line: $text"
577
577
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 # and error messages should be written. If left blank the output is written
579 # and error messages should be written. If left blank the output is written
580 # to stderr.
580 # to stderr.
581
581
582 WARN_LOGFILE =
582 WARN_LOGFILE =
583
583
584 #---------------------------------------------------------------------------
584 #---------------------------------------------------------------------------
585 # configuration options related to the input files
585 # configuration options related to the input files
586 #---------------------------------------------------------------------------
586 #---------------------------------------------------------------------------
587
587
588 # The INPUT tag can be used to specify the files and/or directories that contain
588 # The INPUT tag can be used to specify the files and/or directories that contain
589 # documented source files. You may enter file names like "myfile.cpp" or
589 # documented source files. You may enter file names like "myfile.cpp" or
590 # directories like "/usr/src/myproject". Separate the files or directories
590 # directories like "/usr/src/myproject". Separate the files or directories
591 # with spaces.
591 # with spaces.
592
592
593 INPUT = .
593 INPUT = .
594
594
595 # This tag can be used to specify the character encoding of the source files
595 # This tag can be used to specify the character encoding of the source files
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 # the list of possible encodings.
599 # the list of possible encodings.
600
600
601 INPUT_ENCODING = UTF-8
601 INPUT_ENCODING = UTF-8
602
602
603 # If the value of the INPUT tag contains directories, you can use the
603 # If the value of the INPUT tag contains directories, you can use the
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 # and *.h) to filter out the source-files in the directories. If left
605 # and *.h) to filter out the source-files in the directories. If left
606 # blank the following patterns are tested:
606 # blank the following patterns are tested:
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609
609
610 FILE_PATTERNS = *.c \
610 FILE_PATTERNS = *.c \
611 *.cc \
611 *.cc \
612 *.cxx \
612 *.cxx \
613 *.cpp \
613 *.cpp \
614 *.c++ \
614 *.c++ \
615 *.d \
615 *.d \
616 *.java \
616 *.java \
617 *.ii \
617 *.ii \
618 *.ixx \
618 *.ixx \
619 *.ipp \
619 *.ipp \
620 *.i++ \
620 *.i++ \
621 *.inl \
621 *.inl \
622 *.h \
622 *.h \
623 *.hh \
623 *.hh \
624 *.hxx \
624 *.hxx \
625 *.hpp \
625 *.hpp \
626 *.h++ \
626 *.h++ \
627 *.idl \
627 *.idl \
628 *.odl \
628 *.odl \
629 *.cs \
629 *.cs \
630 *.php \
630 *.php \
631 *.php3 \
631 *.php3 \
632 *.inc \
632 *.inc \
633 *.m \
633 *.m \
634 *.mm \
634 *.mm \
635 *.dox \
635 *.dox \
636 *.py \
636 *.py \
637 *.f90 \
637 *.f90 \
638 *.f \
638 *.f \
639 *.vhd \
639 *.vhd \
640 *.vhdl
640 *.vhdl
641
641
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 # should be searched for input files as well. Possible values are YES and NO.
643 # should be searched for input files as well. Possible values are YES and NO.
644 # If left blank NO is used.
644 # If left blank NO is used.
645
645
646 RECURSIVE = YES
646 RECURSIVE = YES
647
647
648 # The EXCLUDE tag can be used to specify files and/or directories that should
648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 # excluded from the INPUT source files. This way you can easily exclude a
649 # excluded from the INPUT source files. This way you can easily exclude a
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651
651
652 EXCLUDE =
652 EXCLUDE =
653
653
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 # from the input.
656 # from the input.
657
657
658 EXCLUDE_SYMLINKS = NO
658 EXCLUDE_SYMLINKS = NO
659
659
660 # If the value of the INPUT tag contains directories, you can use the
660 # If the value of the INPUT tag contains directories, you can use the
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 # certain files from those directories. Note that the wildcards are matched
662 # certain files from those directories. Note that the wildcards are matched
663 # against the file with absolute path, so to exclude all test directories
663 # against the file with absolute path, so to exclude all test directories
664 # for example use the pattern */test/*
664 # for example use the pattern */test/*
665
665
666 EXCLUDE_PATTERNS =
666 EXCLUDE_PATTERNS =
667
667
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 # (namespaces, classes, functions, etc.) that should be excluded from the
669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 # output. The symbol name can be a fully qualified name, a word, or if the
670 # output. The symbol name can be a fully qualified name, a word, or if the
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 # AClass::ANamespace, ANamespace::*Test
672 # AClass::ANamespace, ANamespace::*Test
673
673
674 EXCLUDE_SYMBOLS =
674 EXCLUDE_SYMBOLS =
675
675
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 # directories that contain example code fragments that are included (see
677 # directories that contain example code fragments that are included (see
678 # the \include command).
678 # the \include command).
679
679
680 EXAMPLE_PATH =
680 EXAMPLE_PATH =
681
681
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 # and *.h) to filter out the source-files in the directories. If left
684 # and *.h) to filter out the source-files in the directories. If left
685 # blank all files are included.
685 # blank all files are included.
686
686
687 EXAMPLE_PATTERNS = *
687 EXAMPLE_PATTERNS = *
688
688
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 # searched for input files to be used with the \include or \dontinclude
690 # searched for input files to be used with the \include or \dontinclude
691 # commands irrespective of the value of the RECURSIVE tag.
691 # commands irrespective of the value of the RECURSIVE tag.
692 # Possible values are YES and NO. If left blank NO is used.
692 # Possible values are YES and NO. If left blank NO is used.
693
693
694 EXAMPLE_RECURSIVE = NO
694 EXAMPLE_RECURSIVE = NO
695
695
696 # The IMAGE_PATH tag can be used to specify one or more files or
696 # The IMAGE_PATH tag can be used to specify one or more files or
697 # directories that contain image that are included in the documentation (see
697 # directories that contain image that are included in the documentation (see
698 # the \image command).
698 # the \image command).
699
699
700 IMAGE_PATH =
700 IMAGE_PATH =
701
701
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 # invoke to filter for each input file. Doxygen will invoke the filter program
703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 # input file. Doxygen will then use the output that the filter program writes
706 # input file. Doxygen will then use the output that the filter program writes
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 # ignored.
708 # ignored.
709
709
710 INPUT_FILTER =
710 INPUT_FILTER =
711
711
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 # basis. Doxygen will compare the file name with each pattern and apply the
713 # basis. Doxygen will compare the file name with each pattern and apply the
714 # filter if there is a match. The filters are a list of the form:
714 # filter if there is a match. The filters are a list of the form:
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 # is applied to all files.
717 # is applied to all files.
718
718
719 FILTER_PATTERNS =
719 FILTER_PATTERNS =
720
720
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 # INPUT_FILTER) will be used to filter the input files when producing source
722 # INPUT_FILTER) will be used to filter the input files when producing source
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724
724
725 FILTER_SOURCE_FILES = NO
725 FILTER_SOURCE_FILES = NO
726
726
727 #---------------------------------------------------------------------------
727 #---------------------------------------------------------------------------
728 # configuration options related to source browsing
728 # configuration options related to source browsing
729 #---------------------------------------------------------------------------
729 #---------------------------------------------------------------------------
730
730
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 # be generated. Documented entities will be cross-referenced with these sources.
732 # be generated. Documented entities will be cross-referenced with these sources.
733 # Note: To get rid of all source code in the generated output, make sure also
733 # Note: To get rid of all source code in the generated output, make sure also
734 # VERBATIM_HEADERS is set to NO.
734 # VERBATIM_HEADERS is set to NO.
735
735
736 SOURCE_BROWSER = NO
736 SOURCE_BROWSER = NO
737
737
738 # Setting the INLINE_SOURCES tag to YES will include the body
738 # Setting the INLINE_SOURCES tag to YES will include the body
739 # of functions and classes directly in the documentation.
739 # of functions and classes directly in the documentation.
740
740
741 INLINE_SOURCES = NO
741 INLINE_SOURCES = NO
742
742
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 # doxygen to hide any special comment blocks from generated source code
744 # doxygen to hide any special comment blocks from generated source code
745 # fragments. Normal C and C++ comments will always remain visible.
745 # fragments. Normal C and C++ comments will always remain visible.
746
746
747 STRIP_CODE_COMMENTS = YES
747 STRIP_CODE_COMMENTS = YES
748
748
749 # If the REFERENCED_BY_RELATION tag is set to YES
749 # If the REFERENCED_BY_RELATION tag is set to YES
750 # then for each documented function all documented
750 # then for each documented function all documented
751 # functions referencing it will be listed.
751 # functions referencing it will be listed.
752
752
753 REFERENCED_BY_RELATION = NO
753 REFERENCED_BY_RELATION = NO
754
754
755 # If the REFERENCES_RELATION tag is set to YES
755 # If the REFERENCES_RELATION tag is set to YES
756 # then for each documented function all documented entities
756 # then for each documented function all documented entities
757 # called/used by that function will be listed.
757 # called/used by that function will be listed.
758
758
759 REFERENCES_RELATION = NO
759 REFERENCES_RELATION = NO
760
760
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 # link to the source code. Otherwise they will link to the documentation.
764 # link to the source code. Otherwise they will link to the documentation.
765
765
766 REFERENCES_LINK_SOURCE = YES
766 REFERENCES_LINK_SOURCE = YES
767
767
768 # If the USE_HTAGS tag is set to YES then the references to source code
768 # If the USE_HTAGS tag is set to YES then the references to source code
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 # built-in source browser. The htags tool is part of GNU's global source
770 # built-in source browser. The htags tool is part of GNU's global source
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 # will need version 4.8.6 or higher.
772 # will need version 4.8.6 or higher.
773
773
774 USE_HTAGS = NO
774 USE_HTAGS = NO
775
775
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 # will generate a verbatim copy of the header file for each class for
777 # will generate a verbatim copy of the header file for each class for
778 # which an include is specified. Set to NO to disable this.
778 # which an include is specified. Set to NO to disable this.
779
779
780 VERBATIM_HEADERS = YES
780 VERBATIM_HEADERS = YES
781
781
782 #---------------------------------------------------------------------------
782 #---------------------------------------------------------------------------
783 # configuration options related to the alphabetical class index
783 # configuration options related to the alphabetical class index
784 #---------------------------------------------------------------------------
784 #---------------------------------------------------------------------------
785
785
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 # of all compounds will be generated. Enable this if the project
787 # of all compounds will be generated. Enable this if the project
788 # contains a lot of classes, structs, unions or interfaces.
788 # contains a lot of classes, structs, unions or interfaces.
789
789
790 ALPHABETICAL_INDEX = YES
790 ALPHABETICAL_INDEX = YES
791
791
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 # in which this list will be split (can be a number in the range [1..20])
794 # in which this list will be split (can be a number in the range [1..20])
795
795
796 COLS_IN_ALPHA_INDEX = 5
796 COLS_IN_ALPHA_INDEX = 5
797
797
798 # In case all classes in a project start with a common prefix, all
798 # In case all classes in a project start with a common prefix, all
799 # classes will be put under the same header in the alphabetical index.
799 # classes will be put under the same header in the alphabetical index.
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 # should be ignored while generating the index headers.
801 # should be ignored while generating the index headers.
802
802
803 IGNORE_PREFIX =
803 IGNORE_PREFIX =
804
804
805 #---------------------------------------------------------------------------
805 #---------------------------------------------------------------------------
806 # configuration options related to the HTML output
806 # configuration options related to the HTML output
807 #---------------------------------------------------------------------------
807 #---------------------------------------------------------------------------
808
808
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 # generate HTML output.
810 # generate HTML output.
811
811
812 GENERATE_HTML = YES
812 GENERATE_HTML = YES
813
813
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 # put in front of it. If left blank `html' will be used as the default path.
816 # put in front of it. If left blank `html' will be used as the default path.
817
817
818 HTML_OUTPUT = html
818 HTML_OUTPUT = html
819
819
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 # doxygen will generate files with .html extension.
822 # doxygen will generate files with .html extension.
823
823
824 HTML_FILE_EXTENSION = .html
824 HTML_FILE_EXTENSION = .html
825
825
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 # each generated HTML page. If it is left blank doxygen will generate a
827 # each generated HTML page. If it is left blank doxygen will generate a
828 # standard header.
828 # standard header.
829
829
830 HTML_HEADER =
830 HTML_HEADER =
831
831
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 # each generated HTML page. If it is left blank doxygen will generate a
833 # each generated HTML page. If it is left blank doxygen will generate a
834 # standard footer.
834 # standard footer.
835
835
836 HTML_FOOTER =
836 HTML_FOOTER =
837
837
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 # style sheet that is used by each HTML page. It can be used to
839 # style sheet that is used by each HTML page. It can be used to
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 # will generate a default style sheet. Note that doxygen will try to copy
841 # will generate a default style sheet. Note that doxygen will try to copy
842 # the style sheet file to the HTML output directory, so don't put your own
842 # the style sheet file to the HTML output directory, so don't put your own
843 # stylesheet in the HTML output directory as well, or it will be erased!
843 # stylesheet in the HTML output directory as well, or it will be erased!
844
844
845 HTML_STYLESHEET =
845 HTML_STYLESHEET =
846
846
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 # Doxygen will adjust the colors in the stylesheet and background images
848 # Doxygen will adjust the colors in the stylesheet and background images
849 # according to this color. Hue is specified as an angle on a colorwheel,
849 # according to this color. Hue is specified as an angle on a colorwheel,
850 # see http://en.wikipedia.org/wiki/Hue for more information.
850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 # The allowed range is 0 to 359.
853 # The allowed range is 0 to 359.
854
854
855 HTML_COLORSTYLE_HUE = 220
855 HTML_COLORSTYLE_HUE = 220
856
856
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 # the colors in the HTML output. For a value of 0 the output will use
858 # the colors in the HTML output. For a value of 0 the output will use
859 # grayscales only. A value of 255 will produce the most vivid colors.
859 # grayscales only. A value of 255 will produce the most vivid colors.
860
860
861 HTML_COLORSTYLE_SAT = 100
861 HTML_COLORSTYLE_SAT = 100
862
862
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 # the luminance component of the colors in the HTML output. Values below
864 # the luminance component of the colors in the HTML output. Values below
865 # 100 gradually make the output lighter, whereas values above 100 make
865 # 100 gradually make the output lighter, whereas values above 100 make
866 # the output darker. The value divided by 100 is the actual gamma applied,
866 # the output darker. The value divided by 100 is the actual gamma applied,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 # and 100 does not change the gamma.
868 # and 100 does not change the gamma.
869
869
870 HTML_COLORSTYLE_GAMMA = 80
870 HTML_COLORSTYLE_GAMMA = 80
871
871
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 # page will contain the date and time when the page was generated. Setting
873 # page will contain the date and time when the page was generated. Setting
874 # this to NO can help when comparing the output of multiple runs.
874 # this to NO can help when comparing the output of multiple runs.
875
875
876 HTML_TIMESTAMP = YES
876 HTML_TIMESTAMP = YES
877
877
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 # files or namespaces will be aligned in HTML using tables. If set to
879 # files or namespaces will be aligned in HTML using tables. If set to
880 # NO a bullet list will be used.
880 # NO a bullet list will be used.
881
881
882 HTML_ALIGN_MEMBERS = YES
882 HTML_ALIGN_MEMBERS = YES
883
883
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 # documentation will contain sections that can be hidden and shown after the
885 # documentation will contain sections that can be hidden and shown after the
886 # page has loaded. For this to work a browser that supports
886 # page has loaded. For this to work a browser that supports
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889
889
890 HTML_DYNAMIC_SECTIONS = NO
890 HTML_DYNAMIC_SECTIONS = NO
891
891
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 # will be generated that can be used as input for Apple's Xcode 3
893 # will be generated that can be used as input for Apple's Xcode 3
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 # To create a documentation set, doxygen will generate a Makefile in the
895 # To create a documentation set, doxygen will generate a Makefile in the
896 # HTML output directory. Running make will produce the docset in that
896 # HTML output directory. Running make will produce the docset in that
897 # directory and running "make install" will install the docset in
897 # directory and running "make install" will install the docset in
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 # it at startup.
899 # it at startup.
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 # for more information.
901 # for more information.
902
902
903 GENERATE_DOCSET = NO
903 GENERATE_DOCSET = NO
904
904
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 # feed. A documentation feed provides an umbrella under which multiple
906 # feed. A documentation feed provides an umbrella under which multiple
907 # documentation sets from a single provider (such as a company or product suite)
907 # documentation sets from a single provider (such as a company or product suite)
908 # can be grouped.
908 # can be grouped.
909
909
910 DOCSET_FEEDNAME = "Doxygen generated docs"
910 DOCSET_FEEDNAME = "Doxygen generated docs"
911
911
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 # should uniquely identify the documentation set bundle. This should be a
913 # should uniquely identify the documentation set bundle. This should be a
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 # will append .docset to the name.
915 # will append .docset to the name.
916
916
917 DOCSET_BUNDLE_ID = org.doxygen.Project
917 DOCSET_BUNDLE_ID = org.doxygen.Project
918
918
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 # the documentation publisher. This should be a reverse domain-name style
920 # the documentation publisher. This should be a reverse domain-name style
921 # string, e.g. com.mycompany.MyDocSet.documentation.
921 # string, e.g. com.mycompany.MyDocSet.documentation.
922
922
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924
924
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926
926
927 DOCSET_PUBLISHER_NAME = Publisher
927 DOCSET_PUBLISHER_NAME = Publisher
928
928
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 # will be generated that can be used as input for tools like the
930 # will be generated that can be used as input for tools like the
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 # of the generated HTML documentation.
932 # of the generated HTML documentation.
933
933
934 GENERATE_HTMLHELP = NO
934 GENERATE_HTMLHELP = NO
935
935
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 # be used to specify the file name of the resulting .chm file. You
937 # be used to specify the file name of the resulting .chm file. You
938 # can add a path in front of the file if the result should not be
938 # can add a path in front of the file if the result should not be
939 # written to the html output directory.
939 # written to the html output directory.
940
940
941 CHM_FILE =
941 CHM_FILE =
942
942
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 # be used to specify the location (absolute path including file name) of
944 # be used to specify the location (absolute path including file name) of
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 # the HTML help compiler on the generated index.hhp.
946 # the HTML help compiler on the generated index.hhp.
947
947
948 HHC_LOCATION =
948 HHC_LOCATION =
949
949
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 # controls if a separate .chi index file is generated (YES) or that
951 # controls if a separate .chi index file is generated (YES) or that
952 # it should be included in the master .chm file (NO).
952 # it should be included in the master .chm file (NO).
953
953
954 GENERATE_CHI = NO
954 GENERATE_CHI = NO
955
955
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 # content.
958 # content.
959
959
960 CHM_INDEX_ENCODING =
960 CHM_INDEX_ENCODING =
961
961
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 # controls whether a binary table of contents is generated (YES) or a
963 # controls whether a binary table of contents is generated (YES) or a
964 # normal table of contents (NO) in the .chm file.
964 # normal table of contents (NO) in the .chm file.
965
965
966 BINARY_TOC = NO
966 BINARY_TOC = NO
967
967
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 # to the contents of the HTML help documentation and to the tree view.
969 # to the contents of the HTML help documentation and to the tree view.
970
970
971 TOC_EXPAND = NO
971 TOC_EXPAND = NO
972
972
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 # that can be used as input for Qt's qhelpgenerator to generate a
975 # that can be used as input for Qt's qhelpgenerator to generate a
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977
977
978 GENERATE_QHP = NO
978 GENERATE_QHP = NO
979
979
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 # be used to specify the file name of the resulting .qch file.
981 # be used to specify the file name of the resulting .qch file.
982 # The path specified is relative to the HTML output folder.
982 # The path specified is relative to the HTML output folder.
983
983
984 QCH_FILE =
984 QCH_FILE =
985
985
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 # Qt Help Project output. For more information please see
987 # Qt Help Project output. For more information please see
988 # http://doc.trolltech.com/qthelpproject.html#namespace
988 # http://doc.trolltech.com/qthelpproject.html#namespace
989
989
990 QHP_NAMESPACE = org.doxygen.Project
990 QHP_NAMESPACE = org.doxygen.Project
991
991
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 # Qt Help Project output. For more information please see
993 # Qt Help Project output. For more information please see
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995
995
996 QHP_VIRTUAL_FOLDER = doc
996 QHP_VIRTUAL_FOLDER = doc
997
997
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 # add. For more information please see
999 # add. For more information please see
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001
1001
1002 QHP_CUST_FILTER_NAME =
1002 QHP_CUST_FILTER_NAME =
1003
1003
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 # custom filter to add. For more information please see
1005 # custom filter to add. For more information please see
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 # Qt Help Project / Custom Filters</a>.
1007 # Qt Help Project / Custom Filters</a>.
1008
1008
1009 QHP_CUST_FILTER_ATTRS =
1009 QHP_CUST_FILTER_ATTRS =
1010
1010
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 # project's
1012 # project's
1013 # filter section matches.
1013 # filter section matches.
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 # Qt Help Project / Filter Attributes</a>.
1015 # Qt Help Project / Filter Attributes</a>.
1016
1016
1017 QHP_SECT_FILTER_ATTRS =
1017 QHP_SECT_FILTER_ATTRS =
1018
1018
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 # be used to specify the location of Qt's qhelpgenerator.
1020 # be used to specify the location of Qt's qhelpgenerator.
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 # .qhp file.
1022 # .qhp file.
1023
1023
1024 QHG_LOCATION =
1024 QHG_LOCATION =
1025
1025
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 # will be generated, which together with the HTML files, form an Eclipse help
1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 # plugin. To install this plugin and make it available under the help contents
1028 # plugin. To install this plugin and make it available under the help contents
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 # the directory within the plugins directory should be the same as
1031 # the directory within the plugins directory should be the same as
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 # the help appears.
1033 # the help appears.
1034
1034
1035 GENERATE_ECLIPSEHELP = NO
1035 GENERATE_ECLIPSEHELP = NO
1036
1036
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 # the directory name containing the HTML and XML files should also have
1038 # the directory name containing the HTML and XML files should also have
1039 # this name.
1039 # this name.
1040
1040
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042
1042
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 # top of each HTML page. The value NO (the default) enables the index and
1044 # top of each HTML page. The value NO (the default) enables the index and
1045 # the value YES disables it.
1045 # the value YES disables it.
1046
1046
1047 DISABLE_INDEX = NO
1047 DISABLE_INDEX = NO
1048
1048
1049 # This tag can be used to set the number of enum values (range [1..20])
1049 # This tag can be used to set the number of enum values (range [1..20])
1050 # that doxygen will group on one line in the generated HTML documentation.
1050 # that doxygen will group on one line in the generated HTML documentation.
1051
1051
1052 ENUM_VALUES_PER_LINE = 4
1052 ENUM_VALUES_PER_LINE = 4
1053
1053
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 # structure should be generated to display hierarchical information.
1055 # structure should be generated to display hierarchical information.
1056 # If the tag value is set to YES, a side panel will be generated
1056 # If the tag value is set to YES, a side panel will be generated
1057 # containing a tree-like index structure (just like the one that
1057 # containing a tree-like index structure (just like the one that
1058 # is generated for HTML Help). For this to work a browser that supports
1058 # is generated for HTML Help). For this to work a browser that supports
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 # Windows users are probably better off using the HTML help feature.
1060 # Windows users are probably better off using the HTML help feature.
1061
1061
1062 GENERATE_TREEVIEW = NO
1062 GENERATE_TREEVIEW = NO
1063
1063
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066
1066
1067 USE_INLINE_TREES = NO
1067 USE_INLINE_TREES = NO
1068
1068
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 # used to set the initial width (in pixels) of the frame in which the tree
1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 # is shown.
1071 # is shown.
1072
1072
1073 TREEVIEW_WIDTH = 250
1073 TREEVIEW_WIDTH = 250
1074
1074
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 # links to external symbols imported via tag files in a separate window.
1076 # links to external symbols imported via tag files in a separate window.
1077
1077
1078 EXT_LINKS_IN_WINDOW = NO
1078 EXT_LINKS_IN_WINDOW = NO
1079
1079
1080 # Use this tag to change the font size of Latex formulas included
1080 # Use this tag to change the font size of Latex formulas included
1081 # as images in the HTML documentation. The default is 10. Note that
1081 # as images in the HTML documentation. The default is 10. Note that
1082 # when you change the font size after a successful doxygen run you need
1082 # when you change the font size after a successful doxygen run you need
1083 # to manually remove any form_*.png images from the HTML output directory
1083 # to manually remove any form_*.png images from the HTML output directory
1084 # to force them to be regenerated.
1084 # to force them to be regenerated.
1085
1085
1086 FORMULA_FONTSIZE = 10
1086 FORMULA_FONTSIZE = 10
1087
1087
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 # Note that when changing this option you need to delete any form_*.png files
1091 # Note that when changing this option you need to delete any form_*.png files
1092 # in the HTML output before the changes have effect.
1092 # in the HTML output before the changes have effect.
1093
1093
1094 FORMULA_TRANSPARENT = YES
1094 FORMULA_TRANSPARENT = YES
1095
1095
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 # for the HTML output. The underlying search engine uses javascript
1097 # for the HTML output. The underlying search engine uses javascript
1098 # and DHTML and should work on any modern browser. Note that when using
1098 # and DHTML and should work on any modern browser. Note that when using
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 # typically be disabled. For large projects the javascript based search engine
1101 # typically be disabled. For large projects the javascript based search engine
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103
1103
1104 SEARCHENGINE = YES
1104 SEARCHENGINE = YES
1105
1105
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 # implemented using a PHP enabled web server instead of at the web client
1107 # implemented using a PHP enabled web server instead of at the web client
1108 # using Javascript. Doxygen will generate the search PHP script and index
1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 # file to put on the web server. The advantage of the server
1109 # file to put on the web server. The advantage of the server
1110 # based approach is that it scales better to large projects and allows
1110 # based approach is that it scales better to large projects and allows
1111 # full text search. The disadvances is that it is more difficult to setup
1111 # full text search. The disadvances is that it is more difficult to setup
1112 # and does not have live searching capabilities.
1112 # and does not have live searching capabilities.
1113
1113
1114 SERVER_BASED_SEARCH = NO
1114 SERVER_BASED_SEARCH = NO
1115
1115
1116 #---------------------------------------------------------------------------
1116 #---------------------------------------------------------------------------
1117 # configuration options related to the LaTeX output
1117 # configuration options related to the LaTeX output
1118 #---------------------------------------------------------------------------
1118 #---------------------------------------------------------------------------
1119
1119
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 # generate Latex output.
1121 # generate Latex output.
1122
1122
1123 GENERATE_LATEX = YES
1123 GENERATE_LATEX = YES
1124
1124
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 # put in front of it. If left blank `latex' will be used as the default path.
1127 # put in front of it. If left blank `latex' will be used as the default path.
1128
1128
1129 LATEX_OUTPUT = latex
1129 LATEX_OUTPUT = latex
1130
1130
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 # invoked. If left blank `latex' will be used as the default command name.
1132 # invoked. If left blank `latex' will be used as the default command name.
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 # generating bitmaps for formulas in the HTML output, but not in the
1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 # Makefile that is written to the output directory.
1135 # Makefile that is written to the output directory.
1136
1136
1137 LATEX_CMD_NAME = latex
1137 LATEX_CMD_NAME = latex
1138
1138
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 # default command name.
1141 # default command name.
1142
1142
1143 MAKEINDEX_CMD_NAME = makeindex
1143 MAKEINDEX_CMD_NAME = makeindex
1144
1144
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 # LaTeX documents. This may be useful for small projects and may help to
1146 # LaTeX documents. This may be useful for small projects and may help to
1147 # save some trees in general.
1147 # save some trees in general.
1148
1148
1149 COMPACT_LATEX = NO
1149 COMPACT_LATEX = NO
1150
1150
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 # executive. If left blank a4wide will be used.
1153 # executive. If left blank a4wide will be used.
1154
1154
1155 PAPER_TYPE = a4wide
1155 PAPER_TYPE = a4wide
1156
1156
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 # packages that should be included in the LaTeX output.
1158 # packages that should be included in the LaTeX output.
1159
1159
1160 EXTRA_PACKAGES =
1160 EXTRA_PACKAGES =
1161
1161
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 # the generated latex document. The header should contain everything until
1163 # the generated latex document. The header should contain everything until
1164 # the first chapter. If it is left blank doxygen will generate a
1164 # the first chapter. If it is left blank doxygen will generate a
1165 # standard header. Notice: only use this tag if you know what you are doing!
1165 # standard header. Notice: only use this tag if you know what you are doing!
1166
1166
1167 LATEX_HEADER =
1167 LATEX_HEADER =
1168
1168
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 # contain links (just like the HTML output) instead of page references
1171 # contain links (just like the HTML output) instead of page references
1172 # This makes the output suitable for online browsing using a pdf viewer.
1172 # This makes the output suitable for online browsing using a pdf viewer.
1173
1173
1174 PDF_HYPERLINKS = YES
1174 PDF_HYPERLINKS = YES
1175
1175
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 # higher quality PDF documentation.
1178 # higher quality PDF documentation.
1179
1179
1180 USE_PDFLATEX = YES
1180 USE_PDFLATEX = YES
1181
1181
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 # running if errors occur, instead of asking the user for help.
1184 # running if errors occur, instead of asking the user for help.
1185 # This option is also used when generating formulas in HTML.
1185 # This option is also used when generating formulas in HTML.
1186
1186
1187 LATEX_BATCHMODE = NO
1187 LATEX_BATCHMODE = NO
1188
1188
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 # in the output.
1191 # in the output.
1192
1192
1193 LATEX_HIDE_INDICES = NO
1193 LATEX_HIDE_INDICES = NO
1194
1194
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 # source code with syntax highlighting in the LaTeX output.
1196 # source code with syntax highlighting in the LaTeX output.
1197 # Note that which sources are shown also depends on other settings
1197 # Note that which sources are shown also depends on other settings
1198 # such as SOURCE_BROWSER.
1198 # such as SOURCE_BROWSER.
1199
1199
1200 LATEX_SOURCE_CODE = NO
1200 LATEX_SOURCE_CODE = NO
1201
1201
1202 #---------------------------------------------------------------------------
1202 #---------------------------------------------------------------------------
1203 # configuration options related to the RTF output
1203 # configuration options related to the RTF output
1204 #---------------------------------------------------------------------------
1204 #---------------------------------------------------------------------------
1205
1205
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 # other RTF readers or editors.
1208 # other RTF readers or editors.
1209
1209
1210 GENERATE_RTF = NO
1210 GENERATE_RTF = NO
1211
1211
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215
1215
1216 RTF_OUTPUT = rtf
1216 RTF_OUTPUT = rtf
1217
1217
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 # RTF documents. This may be useful for small projects and may help to
1219 # RTF documents. This may be useful for small projects and may help to
1220 # save some trees in general.
1220 # save some trees in general.
1221
1221
1222 COMPACT_RTF = NO
1222 COMPACT_RTF = NO
1223
1223
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 # will contain hyperlink fields. The RTF file will
1225 # will contain hyperlink fields. The RTF file will
1226 # contain links (just like the HTML output) instead of page references.
1226 # contain links (just like the HTML output) instead of page references.
1227 # This makes the output suitable for online browsing using WORD or other
1227 # This makes the output suitable for online browsing using WORD or other
1228 # programs which support those fields.
1228 # programs which support those fields.
1229 # Note: wordpad (write) and others do not support links.
1229 # Note: wordpad (write) and others do not support links.
1230
1230
1231 RTF_HYPERLINKS = NO
1231 RTF_HYPERLINKS = NO
1232
1232
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 # config file, i.e. a series of assignments. You only have to provide
1234 # config file, i.e. a series of assignments. You only have to provide
1235 # replacements, missing definitions are set to their default value.
1235 # replacements, missing definitions are set to their default value.
1236
1236
1237 RTF_STYLESHEET_FILE =
1237 RTF_STYLESHEET_FILE =
1238
1238
1239 # Set optional variables used in the generation of an rtf document.
1239 # Set optional variables used in the generation of an rtf document.
1240 # Syntax is similar to doxygen's config file.
1240 # Syntax is similar to doxygen's config file.
1241
1241
1242 RTF_EXTENSIONS_FILE =
1242 RTF_EXTENSIONS_FILE =
1243
1243
1244 #---------------------------------------------------------------------------
1244 #---------------------------------------------------------------------------
1245 # configuration options related to the man page output
1245 # configuration options related to the man page output
1246 #---------------------------------------------------------------------------
1246 #---------------------------------------------------------------------------
1247
1247
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 # generate man pages
1249 # generate man pages
1250
1250
1251 GENERATE_MAN = YES
1251 GENERATE_MAN = YES
1252
1252
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 # put in front of it. If left blank `man' will be used as the default path.
1255 # put in front of it. If left blank `man' will be used as the default path.
1256
1256
1257 MAN_OUTPUT = man
1257 MAN_OUTPUT = man
1258
1258
1259 # The MAN_EXTENSION tag determines the extension that is added to
1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 # the generated man pages (default is the subroutine's section .3)
1260 # the generated man pages (default is the subroutine's section .3)
1261
1261
1262 MAN_EXTENSION = .3
1262 MAN_EXTENSION = .3
1263
1263
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 # then it will generate one additional man file for each entity
1265 # then it will generate one additional man file for each entity
1266 # documented in the real man page(s). These additional files
1266 # documented in the real man page(s). These additional files
1267 # only source the real man page, but without them the man command
1267 # only source the real man page, but without them the man command
1268 # would be unable to find the correct page. The default is NO.
1268 # would be unable to find the correct page. The default is NO.
1269
1269
1270 MAN_LINKS = NO
1270 MAN_LINKS = NO
1271
1271
1272 #---------------------------------------------------------------------------
1272 #---------------------------------------------------------------------------
1273 # configuration options related to the XML output
1273 # configuration options related to the XML output
1274 #---------------------------------------------------------------------------
1274 #---------------------------------------------------------------------------
1275
1275
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 # generate an XML file that captures the structure of
1277 # generate an XML file that captures the structure of
1278 # the code including all documentation.
1278 # the code including all documentation.
1279
1279
1280 GENERATE_XML = NO
1280 GENERATE_XML = NO
1281
1281
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 # put in front of it. If left blank `xml' will be used as the default path.
1284 # put in front of it. If left blank `xml' will be used as the default path.
1285
1285
1286 XML_OUTPUT = xml
1286 XML_OUTPUT = xml
1287
1287
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 # which can be used by a validating XML parser to check the
1289 # which can be used by a validating XML parser to check the
1290 # syntax of the XML files.
1290 # syntax of the XML files.
1291
1291
1292 XML_SCHEMA =
1292 XML_SCHEMA =
1293
1293
1294 # The XML_DTD tag can be used to specify an XML DTD,
1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 # which can be used by a validating XML parser to check the
1295 # which can be used by a validating XML parser to check the
1296 # syntax of the XML files.
1296 # syntax of the XML files.
1297
1297
1298 XML_DTD =
1298 XML_DTD =
1299
1299
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 # dump the program listings (including syntax highlighting
1301 # dump the program listings (including syntax highlighting
1302 # and cross-referencing information) to the XML output. Note that
1302 # and cross-referencing information) to the XML output. Note that
1303 # enabling this will significantly increase the size of the XML output.
1303 # enabling this will significantly increase the size of the XML output.
1304
1304
1305 XML_PROGRAMLISTING = YES
1305 XML_PROGRAMLISTING = YES
1306
1306
1307 #---------------------------------------------------------------------------
1307 #---------------------------------------------------------------------------
1308 # configuration options for the AutoGen Definitions output
1308 # configuration options for the AutoGen Definitions output
1309 #---------------------------------------------------------------------------
1309 #---------------------------------------------------------------------------
1310
1310
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 # that captures the structure of the code including all
1313 # that captures the structure of the code including all
1314 # documentation. Note that this feature is still experimental
1314 # documentation. Note that this feature is still experimental
1315 # and incomplete at the moment.
1315 # and incomplete at the moment.
1316
1316
1317 GENERATE_AUTOGEN_DEF = NO
1317 GENERATE_AUTOGEN_DEF = NO
1318
1318
1319 #---------------------------------------------------------------------------
1319 #---------------------------------------------------------------------------
1320 # configuration options related to the Perl module output
1320 # configuration options related to the Perl module output
1321 #---------------------------------------------------------------------------
1321 #---------------------------------------------------------------------------
1322
1322
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 # generate a Perl module file that captures the structure of
1324 # generate a Perl module file that captures the structure of
1325 # the code including all documentation. Note that this
1325 # the code including all documentation. Note that this
1326 # feature is still experimental and incomplete at the
1326 # feature is still experimental and incomplete at the
1327 # moment.
1327 # moment.
1328
1328
1329 GENERATE_PERLMOD = NO
1329 GENERATE_PERLMOD = NO
1330
1330
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 # to generate PDF and DVI output from the Perl module output.
1333 # to generate PDF and DVI output from the Perl module output.
1334
1334
1335 PERLMOD_LATEX = NO
1335 PERLMOD_LATEX = NO
1336
1336
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 # if you want to understand what is going on. On the other hand, if this
1339 # if you want to understand what is going on. On the other hand, if this
1340 # tag is set to NO the size of the Perl module output will be much smaller
1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 # and Perl will parse it just the same.
1341 # and Perl will parse it just the same.
1342
1342
1343 PERLMOD_PRETTY = YES
1343 PERLMOD_PRETTY = YES
1344
1344
1345 # The names of the make variables in the generated doxyrules.make file
1345 # The names of the make variables in the generated doxyrules.make file
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 # This is useful so different doxyrules.make files included by the same
1347 # This is useful so different doxyrules.make files included by the same
1348 # Makefile don't overwrite each other's variables.
1348 # Makefile don't overwrite each other's variables.
1349
1349
1350 PERLMOD_MAKEVAR_PREFIX =
1350 PERLMOD_MAKEVAR_PREFIX =
1351
1351
1352 #---------------------------------------------------------------------------
1352 #---------------------------------------------------------------------------
1353 # Configuration options related to the preprocessor
1353 # Configuration options related to the preprocessor
1354 #---------------------------------------------------------------------------
1354 #---------------------------------------------------------------------------
1355
1355
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 # evaluate all C-preprocessor directives found in the sources and include
1357 # evaluate all C-preprocessor directives found in the sources and include
1358 # files.
1358 # files.
1359
1359
1360 ENABLE_PREPROCESSING = YES
1360 ENABLE_PREPROCESSING = YES
1361
1361
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 # names in the source code. If set to NO (the default) only conditional
1363 # names in the source code. If set to NO (the default) only conditional
1364 # compilation will be performed. Macro expansion can be done in a controlled
1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366
1366
1367 MACRO_EXPANSION = NO
1367 MACRO_EXPANSION = NO
1368
1368
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 # then the macro expansion is limited to the macros specified with the
1370 # then the macro expansion is limited to the macros specified with the
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372
1372
1373 EXPAND_ONLY_PREDEF = NO
1373 EXPAND_ONLY_PREDEF = NO
1374
1374
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377
1377
1378 SEARCH_INCLUDES = YES
1378 SEARCH_INCLUDES = YES
1379
1379
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 # contain include files that are not input files but should be processed by
1381 # contain include files that are not input files but should be processed by
1382 # the preprocessor.
1382 # the preprocessor.
1383
1383
1384 INCLUDE_PATH =
1384 INCLUDE_PATH =
1385
1385
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 # be used.
1389 # be used.
1390
1390
1391 INCLUDE_FILE_PATTERNS =
1391 INCLUDE_FILE_PATTERNS =
1392
1392
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 # are defined before the preprocessor is started (similar to the -D option of
1394 # are defined before the preprocessor is started (similar to the -D option of
1395 # gcc). The argument of the tag is a list of macros of the form: name
1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 # or name=definition (no spaces). If the definition and the = are
1396 # or name=definition (no spaces). If the definition and the = are
1397 # omitted =1 is assumed. To prevent a macro definition from being
1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 # undefined via #undef or recursively expanded use the := operator
1398 # undefined via #undef or recursively expanded use the := operator
1399 # instead of the = operator.
1399 # instead of the = operator.
1400
1400
1401 PREDEFINED =
1401 PREDEFINED =
1402
1402
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 # this tag can be used to specify a list of macro names that should be expanded.
1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 # The macro definition that is found in the sources will be used.
1405 # The macro definition that is found in the sources will be used.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407
1407
1408 EXPAND_AS_DEFINED =
1408 EXPAND_AS_DEFINED =
1409
1409
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 # function macros are typically used for boiler-plate code, and will confuse
1413 # function macros are typically used for boiler-plate code, and will confuse
1414 # the parser if not removed.
1414 # the parser if not removed.
1415
1415
1416 SKIP_FUNCTION_MACROS = YES
1416 SKIP_FUNCTION_MACROS = YES
1417
1417
1418 #---------------------------------------------------------------------------
1418 #---------------------------------------------------------------------------
1419 # Configuration::additions related to external references
1419 # Configuration::additions related to external references
1420 #---------------------------------------------------------------------------
1420 #---------------------------------------------------------------------------
1421
1421
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 # Optionally an initial location of the external documentation
1423 # Optionally an initial location of the external documentation
1424 # can be added for each tagfile. The format of a tag file without
1424 # can be added for each tagfile. The format of a tag file without
1425 # this location is as follows:
1425 # this location is as follows:
1426 # TAGFILES = file1 file2 ...
1426 # TAGFILES = file1 file2 ...
1427 # Adding location for the tag files is done as follows:
1427 # Adding location for the tag files is done as follows:
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 # URLs. If a location is present for each tag, the installdox tool
1430 # URLs. If a location is present for each tag, the installdox tool
1431 # does not have to be run to correct the links.
1431 # does not have to be run to correct the links.
1432 # Note that each tag file must have a unique name
1432 # Note that each tag file must have a unique name
1433 # (where the name does NOT include the path)
1433 # (where the name does NOT include the path)
1434 # If a tag file is not located in the directory in which doxygen
1434 # If a tag file is not located in the directory in which doxygen
1435 # is run, you must also specify the path to the tagfile here.
1435 # is run, you must also specify the path to the tagfile here.
1436
1436
1437 TAGFILES =
1437 TAGFILES =
1438
1438
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 # a tag file that is based on the input files it reads.
1440 # a tag file that is based on the input files it reads.
1441
1441
1442 GENERATE_TAGFILE =
1442 GENERATE_TAGFILE =
1443
1443
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 # in the class index. If set to NO only the inherited external classes
1445 # in the class index. If set to NO only the inherited external classes
1446 # will be listed.
1446 # will be listed.
1447
1447
1448 ALLEXTERNALS = NO
1448 ALLEXTERNALS = NO
1449
1449
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 # in the modules index. If set to NO, only the current project's groups will
1451 # in the modules index. If set to NO, only the current project's groups will
1452 # be listed.
1452 # be listed.
1453
1453
1454 EXTERNAL_GROUPS = YES
1454 EXTERNAL_GROUPS = YES
1455
1455
1456 # The PERL_PATH should be the absolute path and name of the perl script
1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 # interpreter (i.e. the result of `which perl').
1457 # interpreter (i.e. the result of `which perl').
1458
1458
1459 PERL_PATH = /usr/bin/perl
1459 PERL_PATH = /usr/bin/perl
1460
1460
1461 #---------------------------------------------------------------------------
1461 #---------------------------------------------------------------------------
1462 # Configuration options related to the dot tool
1462 # Configuration options related to the dot tool
1463 #---------------------------------------------------------------------------
1463 #---------------------------------------------------------------------------
1464
1464
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 # fallback. It is recommended to install and use dot, since it yields more
1469 # fallback. It is recommended to install and use dot, since it yields more
1470 # powerful graphs.
1470 # powerful graphs.
1471
1471
1472 CLASS_DIAGRAMS = YES
1472 CLASS_DIAGRAMS = YES
1473
1473
1474 # You can define message sequence charts within doxygen comments using the \msc
1474 # You can define message sequence charts within doxygen comments using the \msc
1475 # command. Doxygen will then run the mscgen tool (see
1475 # command. Doxygen will then run the mscgen tool (see
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 # default search path.
1479 # default search path.
1480
1480
1481 MSCGEN_PATH =
1481 MSCGEN_PATH =
1482
1482
1483 # If set to YES, the inheritance and collaboration graphs will hide
1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 # inheritance and usage relations if the target is undocumented
1484 # inheritance and usage relations if the target is undocumented
1485 # or is not a class.
1485 # or is not a class.
1486
1486
1487 HIDE_UNDOC_RELATIONS = YES
1487 HIDE_UNDOC_RELATIONS = YES
1488
1488
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 # have no effect if this option is set to NO (the default)
1492 # have no effect if this option is set to NO (the default)
1493
1493
1494 HAVE_DOT = YES
1494 HAVE_DOT = YES
1495
1495
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 # base this on the number of processors available in the system. You can set it
1498 # base this on the number of processors available in the system. You can set it
1499 # explicitly to a value larger than 0 to get control over the balance
1499 # explicitly to a value larger than 0 to get control over the balance
1500 # between CPU load and processing speed.
1500 # between CPU load and processing speed.
1501
1501
1502 DOT_NUM_THREADS = 0
1502 DOT_NUM_THREADS = 0
1503
1503
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 # directory and reference it in all dot files that doxygen generates. This
1505 # directory and reference it in all dot files that doxygen generates. This
1506 # font does not include all possible unicode characters however, so when you need
1506 # font does not include all possible unicode characters however, so when you need
1507 # these (or just want a differently looking font) you can specify the font name
1507 # these (or just want a differently looking font) you can specify the font name
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 # which can be done by putting it in a standard location or by setting the
1509 # which can be done by putting it in a standard location or by setting the
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 # containing the font.
1511 # containing the font.
1512
1512
1513 DOT_FONTNAME = FreeSans.ttf
1513 DOT_FONTNAME = FreeSans.ttf
1514
1514
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 # The default size is 10pt.
1516 # The default size is 10pt.
1517
1517
1518 DOT_FONTSIZE = 10
1518 DOT_FONTSIZE = 10
1519
1519
1520 # By default doxygen will tell dot to use the output directory to look for the
1520 # By default doxygen will tell dot to use the output directory to look for the
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 # different font using DOT_FONTNAME you can set the path where dot
1522 # different font using DOT_FONTNAME you can set the path where dot
1523 # can find it using this tag.
1523 # can find it using this tag.
1524
1524
1525 DOT_FONTPATH =
1525 DOT_FONTPATH =
1526
1526
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 # will generate a graph for each documented class showing the direct and
1528 # will generate a graph for each documented class showing the direct and
1529 # indirect inheritance relations. Setting this tag to YES will force the
1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 # the CLASS_DIAGRAMS tag to NO.
1530 # the CLASS_DIAGRAMS tag to NO.
1531
1531
1532 CLASS_GRAPH = YES
1532 CLASS_GRAPH = YES
1533
1533
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 # will generate a graph for each documented class showing the direct and
1535 # will generate a graph for each documented class showing the direct and
1536 # indirect implementation dependencies (inheritance, containment, and
1536 # indirect implementation dependencies (inheritance, containment, and
1537 # class references variables) of the class with other documented classes.
1537 # class references variables) of the class with other documented classes.
1538
1538
1539 COLLABORATION_GRAPH = YES
1539 COLLABORATION_GRAPH = YES
1540
1540
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 # will generate a graph for groups, showing the direct groups dependencies
1542 # will generate a graph for groups, showing the direct groups dependencies
1543
1543
1544 GROUP_GRAPHS = YES
1544 GROUP_GRAPHS = YES
1545
1545
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 # Language.
1548 # Language.
1549
1549
1550 UML_LOOK = NO
1550 UML_LOOK = NO
1551
1551
1552 # If set to YES, the inheritance and collaboration graphs will show the
1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 # relations between templates and their instances.
1553 # relations between templates and their instances.
1554
1554
1555 TEMPLATE_RELATIONS = NO
1555 TEMPLATE_RELATIONS = NO
1556
1556
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 # tags are set to YES then doxygen will generate a graph for each documented
1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 # file showing the direct and indirect include dependencies of the file with
1559 # file showing the direct and indirect include dependencies of the file with
1560 # other documented files.
1560 # other documented files.
1561
1561
1562 INCLUDE_GRAPH = YES
1562 INCLUDE_GRAPH = YES
1563
1563
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 # documented header file showing the documented files that directly or
1566 # documented header file showing the documented files that directly or
1567 # indirectly include this file.
1567 # indirectly include this file.
1568
1568
1569 INCLUDED_BY_GRAPH = YES
1569 INCLUDED_BY_GRAPH = YES
1570
1570
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 # doxygen will generate a call dependency graph for every global function
1572 # doxygen will generate a call dependency graph for every global function
1573 # or class method. Note that enabling this option will significantly increase
1573 # or class method. Note that enabling this option will significantly increase
1574 # the time of a run. So in most cases it will be better to enable call graphs
1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 # for selected functions only using the \callgraph command.
1575 # for selected functions only using the \callgraph command.
1576
1576
1577 CALL_GRAPH = NO
1577 CALL_GRAPH = NO
1578
1578
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 # doxygen will generate a caller dependency graph for every global function
1580 # doxygen will generate a caller dependency graph for every global function
1581 # or class method. Note that enabling this option will significantly increase
1581 # or class method. Note that enabling this option will significantly increase
1582 # the time of a run. So in most cases it will be better to enable caller
1582 # the time of a run. So in most cases it will be better to enable caller
1583 # graphs for selected functions only using the \callergraph command.
1583 # graphs for selected functions only using the \callergraph command.
1584
1584
1585 CALLER_GRAPH = NO
1585 CALLER_GRAPH = NO
1586
1586
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 # will graphical hierarchy of all classes instead of a textual one.
1588 # will graphical hierarchy of all classes instead of a textual one.
1589
1589
1590 GRAPHICAL_HIERARCHY = YES
1590 GRAPHICAL_HIERARCHY = YES
1591
1591
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 # then doxygen will show the dependencies a directory has on other directories
1593 # then doxygen will show the dependencies a directory has on other directories
1594 # in a graphical way. The dependency relations are determined by the #include
1594 # in a graphical way. The dependency relations are determined by the #include
1595 # relations between the files in the directories.
1595 # relations between the files in the directories.
1596
1596
1597 DIRECTORY_GRAPH = YES
1597 DIRECTORY_GRAPH = YES
1598
1598
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 # generated by dot. Possible values are png, jpg, or gif
1600 # generated by dot. Possible values are png, jpg, or gif
1601 # If left blank png will be used.
1601 # If left blank png will be used.
1602
1602
1603 DOT_IMAGE_FORMAT = png
1603 DOT_IMAGE_FORMAT = png
1604
1604
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607
1607
1608 DOT_PATH =
1608 DOT_PATH =
1609
1609
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 # contain dot files that are included in the documentation (see the
1611 # contain dot files that are included in the documentation (see the
1612 # \dotfile command).
1612 # \dotfile command).
1613
1613
1614 DOTFILE_DIRS =
1614 DOTFILE_DIRS =
1615
1615
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 # visualized by representing a node as a red box. Note that doxygen if the
1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 # number of direct children of the root node in a graph is already larger than
1620 # number of direct children of the root node in a graph is already larger than
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623
1623
1624 DOT_GRAPH_MAX_NODES = 50
1624 DOT_GRAPH_MAX_NODES = 50
1625
1625
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 # that lay further from the root node will be omitted. Note that setting this
1629 # that lay further from the root node will be omitted. Note that setting this
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 # code bases. Also note that the size of a graph can be further restricted by
1631 # code bases. Also note that the size of a graph can be further restricted by
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633
1633
1634 MAX_DOT_GRAPH_DEPTH = 0
1634 MAX_DOT_GRAPH_DEPTH = 0
1635
1635
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 # background. This is disabled by default, because dot on Windows does not
1637 # background. This is disabled by default, because dot on Windows does not
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 # a graph (i.e. they become hard to read).
1640 # a graph (i.e. they become hard to read).
1641
1641
1642 DOT_TRANSPARENT = NO
1642 DOT_TRANSPARENT = NO
1643
1643
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 # support this, this feature is disabled by default.
1647 # support this, this feature is disabled by default.
1648
1648
1649 DOT_MULTI_TARGETS = NO
1649 DOT_MULTI_TARGETS = NO
1650
1650
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 # generate a legend page explaining the meaning of the various boxes and
1652 # generate a legend page explaining the meaning of the various boxes and
1653 # arrows in the dot generated graphs.
1653 # arrows in the dot generated graphs.
1654
1654
1655 GENERATE_LEGEND = YES
1655 GENERATE_LEGEND = YES
1656
1656
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 # remove the intermediate dot files that are used to generate
1658 # remove the intermediate dot files that are used to generate
1659 # the various graphs.
1659 # the various graphs.
1660
1660
1661 DOT_CLEANUP = YES
1661 DOT_CLEANUP = YES
General Comments 0
You need to be logged in to leave comments. Login now