##// END OF EJS Templates
merge with last version
Alexis -
r8:3780dd548232 default
parent child
Show More
@@ -0,0 +1,66
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19
20
21 | ABOUT
22 | ~~~~~
23
24 LPP's VHD_Lib is a VHDL library, which is target independent and includes a set of scripts for integrating into
25 gaisler's grlib and use its features. For setup read instalation section.
26
27
28 | REQUIREMENTS
29 | ~~~~~~~~~~~~
30
31 To use this library you need the grlib and linux shell or mingw for windows users.
32
33
34 | PERSONALIZATION
35 | ~~~~~~~~~~~~~~~
36
37 You can add your IPs to the library, see how_to_improve.pdf(Comming soon).
38
39
40 | INSTALLATION
41 | ~~~~~~~~~~~~
42
43 To set up the VHD_Lib follow this steps:
44 -download and setup the grlib
45 -create a VARIABLE called GRLIB with value the path to the grlib folder.
46 -untar the VHD_Lib and type "make Patch-GRLIB"
47 -now you can use the grlib's Makefiles and designs.
48 Please note that if you try "make" or "make help" you will see all targets and if the GRLIB variable is correct.
49
50
51 | HACKING
52 | ~~~~~~~
53
54 The first thing you should look at is the Makefile and then all scripts in "scripts" folder.
55
56
57 | LICENSE
58 | ~~~~~~~
59
60 All the programs used by the VHD_Lib are protected by their respective
61 license. They all are free software and most of them are covered by the
62 GNU General Public License.
63
64 The VHD_Lib itself, meaning all the scripts which are used in the building
65 process, are covered by the GNU General Public License.
66
@@ -0,0 +1,87
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 09:21:03 10/19/2010
24 -- Design Name:
25 -- Module Name: FRAME_CLK_GEN - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity FRAME_CLK_GEN is
45 generic(OSC_freqKHz : integer := 50000);
46 Port ( clk : in STD_LOGIC;
47 reset : in STD_LOGIC;
48 FRAME_CLK : out STD_LOGIC);
49 end FRAME_CLK_GEN;
50
51 architecture Behavioral of FRAME_CLK_GEN is
52
53 Constant Goal_FRAME_CLK_FREQ : integer := 20;
54
55 Constant FRAME_CLK_TRIG : integer := OSC_freqKHz*500/Goal_FRAME_CLK_FREQ -1;
56
57 signal CPT : integer := 0;
58 signal FRAME_CLK_reg : std_logic :='0';
59
60 begin
61
62 FRAME_CLK <= FRAME_CLK_reg;
63
64 process(reset,clk)
65 begin
66 if reset = '0' then
67 CPT <= 0;
68 FRAME_CLK_reg <= '0';
69 elsif clk'event and clk = '1' then
70 if CPT = FRAME_CLK_TRIG then
71 CPT <= 0;
72 FRAME_CLK_reg <= not FRAME_CLK_reg;
73 else
74 CPT <= CPT + 1;
75 end if;
76 end if;
77 end process;
78 end Behavioral;
79
80
81
82
83
84
85
86
87
@@ -0,0 +1,55
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 -- Package File Template
20 --
21 -- Purpose: This package defines supplemental types, subtypes,
22 -- constants, and functions
23
24
25 library IEEE;
26 use IEEE.STD_LOGIC_1164.all;
27 library lpp;
28 use lpp.amba_lcd_16x2_ctrlr.all;
29
30
31
32 package LCD_16x2_CFG is
33
34
35 constant ClearDSPLY : std_logic_vector(7 downto 0):= X"01";
36 constant FunctionSet : std_logic_vector(7 downto 0):= X"38";
37 constant RetHome : std_logic_vector(7 downto 0):= X"02";
38 constant SetEntryMode : std_logic_vector(7 downto 0):= X"06";
39 constant DSPL_CTRL : std_logic_vector(7 downto 0):= X"0C";
40
41 constant CursorON : std_logic_vector(7 downto 0):= X"0E";
42 constant CursorOFF : std_logic_vector(7 downto 0):= X"0C";
43
44 --===========================================================|
45 --======L C D D R I V E R T I M I N G C O D E=====|
46 --===========================================================|
47
48 constant Duration_4us : std_logic_vector(1 downto 0) := "00";
49 constant Duration_100us : std_logic_vector(1 downto 0) := "01";
50 constant Duration_4ms : std_logic_vector(1 downto 0) := "10";
51 constant Duration_20ms : std_logic_vector(1 downto 0) := "11";
52
53
54 end LCD_16x2_CFG;
55
@@ -0,0 +1,67
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 10:09:57 10/13/2010
24 -- Design Name:
25 -- Module Name: LCD_2x16_DRIVER - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.all;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44
45 entity LCD_16x2_DRIVER is
46 generic(
47 OSC_Freq_MHz : integer:=60
48 );
49 Port(
50 reset : in STD_LOGIC;
51 clk : in STD_LOGIC;
52 LCD_CTRL : out LCD_DRVR_CTRL_BUSS;
53 SYNCH : out LCD_DRVR_SYNCH_BUSS;
54 DRIVER_CMD : in LCD_DRVR_CMD_BUSS
55 );
56 end LCD_16x2_DRIVER;
57
58 architecture Behavioral of LCD_16x2_DRIVER is
59
60 begin
61
62 end Behavioral;
63
64
65
66
67
@@ -0,0 +1,228
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:32:21 10/19/2010
24 -- Design Name:
25 -- Module Name: LCD_16x2_ENGINE - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41
42 library lpp;
43 use lpp.amba_lcd_16x2_ctrlr.all;
44 use lpp.LCD_16x2_CFG.all;
45
46
47 entity LCD_16x2_ENGINE is
48 generic(OSC_freqKHz : integer := 50000);
49 Port ( clk : in STD_LOGIC;
50 reset : in STD_LOGIC;
51 DATA : in std_logic_vector(16*2*8-1 downto 0);
52 CMD : in std_logic_vector(10 downto 0);
53 Exec : in std_logic;
54 Ready : out std_logic;
55 LCD_CTRL : out LCD_DRVR_CTRL_BUSS
56 );
57 end LCD_16x2_ENGINE;
58
59 architecture ar_LCD_16x2_ENGINE of LCD_16x2_ENGINE is
60
61 constant ConfigTbl : LCD_CFG_Tbl :=(ClearDSPLY,FunctionSet,DSPL_CTRL,SetEntryMode,RetHome);
62
63
64
65 signal SYNCH : LCD_DRVR_SYNCH_BUSS;
66 signal DRIVER_CMD : LCD_DRVR_CMD_BUSS;
67 signal FRAME_CLK : std_logic;
68
69 signal FRAME_CLK_reg : std_logic;
70 signal RefreshFlag : std_logic;
71 signal CMD_Flag : std_logic;
72 signal Exec_Reg : std_logic;
73
74 type state_t is (INIT0,INIT1,INIT2,IDLE,Refresh,Refresh0,Refresh1,ReturnHome,GoLine2,GoLine2_0,ExecCMD0,ExecCMD1);
75 signal state : state_t;
76 signal i : integer range 0 to 32 := 0;
77
78
79
80 begin
81
82 Driver0 : LCD_16x2_DRIVER
83 generic map(OSC_freqKHz)
84 Port map(reset,clk,LCD_CTRL,SYNCH,DRIVER_CMD);
85
86 FRAME_CLK_GEN0 : FRAME_CLK_GEN
87 generic map(OSC_freqKHz)
88 Port map( clk,reset,FRAME_CLK);
89
90
91
92 process(reset,clk)
93 begin
94 if reset = '0' then
95 state <= INIT0;
96 Ready <= '0';
97 RefreshFlag <= '0';
98 i <= 0;
99 elsif clk'event and clk ='1' then
100 FRAME_CLK_reg <= FRAME_CLK;
101 Exec_Reg <= Exec;
102
103 if FRAME_CLK_reg = '0' and FRAME_CLK = '1' then
104 RefreshFlag <= '1';
105 elsif state = Refresh or state = Refresh0 or state = Refresh1 then
106 RefreshFlag <= '0';
107 end if;
108
109 if Exec_Reg = '0' and Exec = '1' then
110 CMD_Flag <= '1';
111 elsif state = ExecCMD0 or state = ExecCMD1 then
112 CMD_Flag <= '0';
113 end if;
114
115 case state is
116 when INIT0 =>
117 if SYNCH.DRVR_READY = '1' then
118 DRIVER_CMD.Exec <= '1';
119 DRIVER_CMD.Duration <= Duration_20ms;
120 DRIVER_CMD.CMD_Data <= '0';
121 DRIVER_CMD.Word <= ConfigTbl(i);
122 i <= i + 1;
123 state <= INIT1;
124 else
125 DRIVER_CMD.Exec <= '0';
126 end if;
127 when INIT1 =>
128 state <= INIT2;
129 DRIVER_CMD.Exec <= '0';
130 when INIT2 =>
131 if SYNCH.DRVR_READY = '1' then
132 if i = 5 then
133 state <= Idle;
134 else
135 state <= INIT0;
136 end if;
137 end if;
138 when Idle=>
139 DRIVER_CMD.Exec <= '0';
140 if RefreshFlag = '1' then
141 Ready <= '0';
142 state <= Refresh;
143 elsif CMD_Flag = '1' then
144 Ready <= '0';
145 state <= ExecCMD0;
146 else
147 Ready <= '1';
148 end if;
149 i <= 0;
150 when Refresh=>
151 if SYNCH.DRVR_READY = '1' then
152 DRIVER_CMD.Exec <= '1';
153 DRIVER_CMD.Duration <= Duration_100us;
154 DRIVER_CMD.CMD_Data <= '1';
155 DRIVER_CMD.Word <= DATA(i*8+7 downto i*8);
156 i <= i + 1;
157 state <= Refresh0;
158 else
159 DRIVER_CMD.Exec <= '0';
160 end if;
161 when Refresh0=>
162 state <= Refresh1;
163 DRIVER_CMD.Exec <= '0';
164 when Refresh1=>
165 if SYNCH.DRVR_READY = '1' then
166 if i = 32 then
167 state <= ReturnHome;
168 elsif i = 16 then
169 state <= GoLine2;
170 else
171 state <= Refresh;
172 end if;
173 end if;
174
175 when ExecCMD0=>
176 if SYNCH.DRVR_READY = '1' then
177 DRIVER_CMD.Exec <= '1';
178 DRIVER_CMD.Duration <= CMD(9 downto 8);
179 DRIVER_CMD.CMD_Data <= '0';
180 DRIVER_CMD.Word <= CMD(7 downto 0);
181 state <= ExecCMD1;
182 else
183 DRIVER_CMD.Exec <= '0';
184 end if;
185
186 when ExecCMD1=>
187 state <= Idle;
188 DRIVER_CMD.Exec <= '0';
189
190 when GoLine2=>
191 if SYNCH.DRVR_READY = '1' then
192 DRIVER_CMD.Exec <= '1';
193 DRIVER_CMD.Duration <= Duration_100us;
194 DRIVER_CMD.CMD_Data <= '0';
195 DRIVER_CMD.Word <= X"C0";
196 state <= GoLine2_0;
197 else
198 DRIVER_CMD.Exec <= '0';
199 end if;
200 when GoLine2_0=>
201 state <= Refresh;
202 DRIVER_CMD.Exec <= '0';
203 when ReturnHome=>
204 if SYNCH.DRVR_READY = '1' then
205 DRIVER_CMD.Exec <= '1';
206 DRIVER_CMD.Duration <= Duration_4ms;
207 DRIVER_CMD.CMD_Data <= '0';
208 DRIVER_CMD.Word <= X"02";
209 state <= Idle;
210 else
211 DRIVER_CMD.Exec <= '0';
212 end if;
213 end case;
214 end if;
215 end process;
216
217
218 end ar_LCD_16x2_ENGINE;
219
220
221
222
223
224
225
226
227
228
@@ -0,0 +1,175
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 10:09:57 10/13/2010
24 -- Design Name:
25 -- Module Name: LCD_2x16_DRIVER - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.all;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity LCD_2x16_DRIVER is
45 generic(
46 OSC_Freq_MHz : integer:=60;
47 Refresh_RateHz : integer:=5
48 );
49 Port ( clk : in STD_LOGIC;
50 reset : in STD_LOGIC;
51 FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0);
52 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
53 LCD_RS : out STD_LOGIC;
54 LCD_RW : out STD_LOGIC;
55 LCD_E : out STD_LOGIC;
56 LCD_RET : out STD_LOGIC;
57 LCD_CS1 : out STD_LOGIC;
58 LCD_CS2 : out STD_LOGIC;
59 STATEOUT: out std_logic_vector(3 downto 0);
60 refreshPulse : out std_logic
61 );
62 end LCD_2x16_DRIVER;
63
64 architecture Behavioral of LCD_2x16_DRIVER is
65
66 type stateT is(Rst,Configure,IDLE,RefreshScreen);
67 signal state : stateT;
68
69 signal ShortTimePulse : std_logic;
70 signal MidleTimePulse : std_logic;
71 signal Refresh_RatePulse : std_logic;
72 signal Start : STD_LOGIC;
73
74 signal CFGM_LCD_RS : std_logic;
75 signal CFGM_LCD_RW : std_logic;
76 signal CFGM_LCD_E : std_logic;
77 signal CFGM_LCD_DATA : std_logic_vector(7 downto 0);
78 signal CFGM_Enable : std_logic;
79 signal CFGM_completed : std_logic;
80
81
82 signal FRMW_LCD_RS : std_logic;
83 signal FRMW_LCD_RW : std_logic;
84 signal FRMW_LCD_E : std_logic;
85 signal FRMW_LCD_DATA : std_logic_vector(7 downto 0);
86 signal FRMW_Enable : std_logic;
87 signal FRMW_completed : std_logic;
88
89 begin
90
91
92 Counter : LCD_Counter
93 generic map(OSC_Freq_MHz,Refresh_RateHz)
94 port map(reset,clk,ShortTimePulse,MidleTimePulse,Refresh_RatePulse,Start);
95
96 ConfigModule : Config_Module
97 port map(reset,clk,CFGM_LCD_RS,CFGM_LCD_RW,CFGM_LCD_E,CFGM_LCD_DATA,CFGM_Enable,CFGM_completed,MidleTimePulse);
98
99
100 FrameWriter : FRAME_WRITER
101 port map(reset,clk,FramBUFF,FRMW_LCD_DATA,FRMW_LCD_RS,FRMW_LCD_RW,FRMW_LCD_E,FRMW_Enable,FRMW_Completed,ShortTimePulse,MidleTimePulse);
102
103
104 STATEOUT(0) <= '1' when state = Rst else '0';
105 STATEOUT(1) <= '1' when state = Configure else '0';
106 STATEOUT(2) <= '1' when state = IDLE else '0';
107 STATEOUT(3) <= '1' when state = RefreshScreen else '0';
108
109
110
111 refreshPulse <= Refresh_RatePulse;
112
113 Start <= '1';
114
115 process(reset,clk)
116 begin
117 if reset = '0' then
118 LCD_data <= (others=>'0');
119 LCD_RS <= '0';
120 LCD_RW <= '0';
121 LCD_RET <= '0';
122 LCD_CS1 <= '0';
123 LCD_CS2 <= '0';
124 LCD_E <= '0';
125 state <= Rst;
126 CFGM_Enable <= '0';
127 FRMW_Enable <= '0';
128 elsif clk'event and clk ='1' then
129 case state is
130 when Rst =>
131 LCD_data <= (others=>'0');
132 LCD_RS <= '0';
133 LCD_RW <= '0';
134 LCD_E <= '0';
135 CFGM_Enable <= '1';
136 FRMW_Enable <= '0';
137 if Refresh_RatePulse = '1' then
138 state <= Configure;
139 end if;
140 when Configure =>
141 LCD_data <= CFGM_LCD_data;
142 LCD_RS <= CFGM_LCD_RS;
143 LCD_RW <= CFGM_LCD_RW;
144 LCD_E <= CFGM_LCD_E;
145 CFGM_Enable <= '0';
146 if CFGM_completed = '1' then
147 state <= IDLE;
148 end if;
149 when IDLE =>
150 if Refresh_RatePulse = '1' then
151 state <= RefreshScreen;
152 FRMW_Enable <= '1';
153 end if;
154 LCD_RS <= '0';
155 LCD_RW <= '0';
156 LCD_E <= '0';
157 LCD_data <= (others=>'0');
158 when RefreshScreen =>
159 LCD_data <= FRMW_LCD_data;
160 LCD_RS <= FRMW_LCD_RS;
161 LCD_RW <= FRMW_LCD_RW;
162 LCD_E <= FRMW_LCD_E;
163 FRMW_Enable <= '0';
164 if FRMW_completed = '1' then
165 state <= IDLE;
166 end if;
167 end case;
168 end if;
169 end process;
170 end Behavioral;
171
172
173
174
175
@@ -0,0 +1,91
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:52:25 10/18/2010
24 -- Design Name:
25 -- Module Name: LCD_CLK_GENERATOR - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40 use IEEE.NUMERIC_STD.ALL;
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43
44 entity LCD_CLK_GENERATOR is
45 generic(OSC_freqKHz : integer := 50000);
46 Port ( clk : in STD_LOGIC;
47 reset : in STD_LOGIC;
48 clk_1us : out STD_LOGIC);
49 end LCD_CLK_GENERATOR;
50
51 architecture ar_LCD_CLK_GENERATOR of LCD_CLK_GENERATOR is
52
53 Constant clk_1usTRIGER : integer := (OSC_freqKHz/2000)+1;
54
55
56 signal cpt1 : integer;
57
58 signal clk_1us_int : std_logic := '0';
59
60
61 begin
62
63 clk_1us <= clk_1us_int;
64
65
66 process(reset,clk)
67 begin
68 if reset = '0' then
69 cpt1 <= 0;
70 clk_1us_int <= '0';
71 elsif clk'event and clk = '1' then
72 if cpt1 = clk_1usTRIGER then
73 clk_1us_int <= not clk_1us_int;
74 cpt1 <= 0;
75 else
76 cpt1 <= cpt1 + 1;
77 end if;
78 end if;
79 end process;
80
81
82 end ar_LCD_CLK_GENERATOR;
83
84
85
86
87
88
89
90
91
@@ -0,0 +1,124
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ----------------------------------------------------------------------------------
20 -- Company:
21 -- Engineer:
22 --
23 -- Create Date: 08:44:41 10/14/2010
24 -- Design Name:
25 -- Module Name: Top_LCD - Behavioral
26 -- Project Name:
27 -- Target Devices:
28 -- Tool versions:
29 -- Description:
30 --
31 -- Dependencies:
32 --
33 -- Revision:
34 -- Revision 0.01 - File Created
35 -- Additional Comments:
36 --
37 ----------------------------------------------------------------------------------
38 library IEEE;
39 use IEEE.STD_LOGIC_1164.ALL;
40
41 library lpp;
42 use lpp.amba_lcd_16x2_ctrlr.all;
43 use lpp.LCD_16x2_CFG.all;
44
45
46 entity AMBA_LCD_16x2_DRIVER is
47 Port ( reset : in STD_LOGIC;
48 clk : in STD_LOGIC;
49 Bp0 : in STD_LOGIC;
50 Bp1 : in STD_LOGIC;
51 Bp2 : in STD_LOGIC;
52 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
53 LCD_RS : out STD_LOGIC;
54 LCD_RW : out STD_LOGIC;
55 LCD_E : out STD_LOGIC;
56 LCD_RET : out STD_LOGIC;
57 LCD_CS1 : out STD_LOGIC;
58 LCD_CS2 : out STD_LOGIC;
59 SF_CE0 : out std_logic
60 );
61 end AMBA_LCD_16x2_DRIVER;
62
63 architecture Behavioral of AMBA_LCD_16x2_DRIVER is
64
65 signal FramBUFF : STD_LOGIC_VECTOR(16*2*8-1 downto 0);
66 signal CMD : std_logic_vector(10 downto 0);
67 signal Exec : std_logic;
68 signal Ready : std_logic;
69 signal rst : std_logic;
70 signal LCD_CTRL : LCD_DRVR_CTRL_BUSS;
71
72 begin
73
74 LCD_data <= LCD_CTRL.LCD_DATA;
75 LCD_RS <= LCD_CTRL.LCD_RS;
76 LCD_RW <= LCD_CTRL.LCD_RW;
77 LCD_E <= LCD_CTRL.LCD_E;
78
79
80 LCD_RET <= '0';
81 LCD_CS1 <= '0';
82 LCD_CS2 <= '0';
83
84 SF_CE0 <= '1';
85
86 rst <= not reset;
87
88
89
90 Driver0 : LCD_16x2_ENGINE
91 generic map(50000)
92 Port map(clk,rst,FramBUFF,CMD,Exec,Ready,LCD_CTRL);
93
94 FramBUFF(0*8+7 downto 0*8) <= X"41" when Bp0 = '1' else
95 X"42" when Bp1 = '1' else
96 X"43" when Bp2 = '1' else
97 X"44";
98
99 FramBUFF(1*8+7 downto 1*8)<= X"46" when Bp0 = '1' else
100 X"47" when Bp1 = '1' else
101 X"48" when Bp2 = '1' else
102 X"49";
103
104
105 CMD(9 downto 0) <= Duration_100us & CursorON when Bp0 = '1' else
106 Duration_100us & CursorOFF;
107
108
109 Exec <= Bp1;
110
111 FramBUFF(2*8+7 downto 2*8) <= X"23";
112 FramBUFF(3*8+7 downto 3*8) <= X"66";
113 FramBUFF(4*8+7 downto 4*8) <= X"67";
114 FramBUFF(5*8+7 downto 5*8) <= X"68";
115 FramBUFF(17*8+7 downto 17*8) <= X"69";
116 --FramBUFF(16*2*8-1 downto 16) <= (others => '0');
117
118 end Behavioral;
119
120
121
122
123
124
@@ -0,0 +1,37
1
2 NET "SF_CE0" LOC = "D16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
3
4 NET "LCD_E" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
5
6 NET "LCD_RS" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
7
8 NET "LCD_RW" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
9
10 NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I;
11 NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I;
12 NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I;
13
14 NET "LCD_data<0>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
15 NET "LCD_data<1>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
16 NET "LCD_data<2>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
17 NET "LCD_data<3>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
18 NET "LCD_data<4>" LOC = "M16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
19 NET "LCD_data<5>" LOC = "P6" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
20 NET "LCD_data<6>" LOC = "R8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
21 NET "LCD_data<7>" LOC = "T8" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
22
23 NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN;
24 NET "Bp0" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN;
25 NET "Bp1" LOC = "V4" | IOSTANDARD = LVTTL | PULLDOWN;
26 NET "Bp2" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN;
27
28 net "clk" LOC = "C9" | IOSTANDARD = LVCMOS33;
29 net "clk" PERIOD = 20.0ns HIGH 40%;
30 #net "clkOUT" LOC = "N14" | IOSTANDARD = LVCMOS33;
31
32 #net "STATEOUT<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
33 #net "STATEOUT<1>" LOC = "V6" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
34 #net "STATEOUT<2>" LOC = "N12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
35 #net "STATEOUT<3>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST ;
36
37 #net "refreshPulse" LOC = "N15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; No newline at end of file
@@ -0,0 +1,137
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19
20 library ieee;
21 use ieee.std_logic_1164.all;
22
23
24
25 package amba_lcd_16x2_ctrlr is
26
27
28 type LCD_DRVR_CTRL_BUSS is
29 record
30 LCD_RW : std_logic;
31 LCD_RS : std_logic;
32 LCD_E : std_logic;
33 LCD_DATA : std_logic_vector(7 downto 0);
34 end record;
35
36 type LCD_DRVR_SYNCH_BUSS is
37 record
38 DRVR_READY : std_logic;
39 LCD_INITIALISED : std_logic;
40 end record;
41
42
43 type LCD_DRVR_CMD_BUSS is
44 record
45 Word : std_logic_vector(7 downto 0);
46 CMD_Data : std_logic; --CMD = '0' and data = '1'
47 Exec : std_logic;
48 Duration : std_logic_vector(1 downto 0);
49 end record;
50 type LCD_CFG_Tbl is array(0 to 4) of std_logic_vector(7 downto 0);
51
52
53
54 component LCD_16x2_DRIVER is
55 generic(
56 OSC_Freq_MHz : integer:=60
57 );
58 Port ( reset : in STD_LOGIC;
59 clk : in STD_LOGIC;
60 LCD_CTRL : out LCD_DRVR_CTRL_BUSS;
61 SYNCH : out LCD_DRVR_SYNCH_BUSS;
62 DRIVER_CMD : in LCD_DRVR_CMD_BUSS
63 );
64 end component;
65
66
67
68 component amba_lcd_16x2_driver is
69 Port ( reset : in STD_LOGIC;
70 clk : in STD_LOGIC;
71 Bp0 : in STD_LOGIC;
72 Bp1 : in STD_LOGIC;
73 Bp2 : in STD_LOGIC;
74 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
75 LCD_RS : out STD_LOGIC;
76 LCD_RW : out STD_LOGIC;
77 LCD_E : out STD_LOGIC;
78 LCD_RET : out STD_LOGIC;
79 LCD_CS1 : out STD_LOGIC;
80 LCD_CS2 : out STD_LOGIC;
81 SF_CE0 : out std_logic
82 );
83 end component;
84
85
86
87 component FRAME_CLK_GEN is
88 generic(OSC_freqKHz : integer := 50000);
89 Port ( clk : in STD_LOGIC;
90 reset : in STD_LOGIC;
91 FRAME_CLK : out STD_LOGIC);
92 end component;
93
94
95
96 component LCD_2x16_DRIVER is
97 generic(
98 OSC_Freq_MHz : integer:=60;
99 Refresh_RateHz : integer:=5
100 );
101 Port ( clk : in STD_LOGIC;
102 reset : in STD_LOGIC;
103 FramBUFF : in STD_LOGIC_VECTOR(16*2*8-1 downto 0);
104 LCD_data : out STD_LOGIC_VECTOR (7 downto 0);
105 LCD_RS : out STD_LOGIC;
106 LCD_RW : out STD_LOGIC;
107 LCD_E : out STD_LOGIC;
108 LCD_RET : out STD_LOGIC;
109 LCD_CS1 : out STD_LOGIC;
110 LCD_CS2 : out STD_LOGIC;
111 STATEOUT: out std_logic_vector(3 downto 0);
112 refreshPulse : out std_logic
113 );
114 end component;
115
116
117 component LCD_CLK_GENERATOR is
118 generic(OSC_freqKHz : integer := 50000);
119 Port ( clk : in STD_LOGIC;
120 reset : in STD_LOGIC;
121 clk_1us : out STD_LOGIC);
122 end component;
123
124 component LCD_16x2_ENGINE is
125 generic(OSC_freqKHz : integer := 50000);
126 Port ( clk : in STD_LOGIC;
127 reset : in STD_LOGIC;
128 DATA : in std_logic_vector(16*2*8-1 downto 0);
129 CMD : in std_logic_vector(10 downto 0);
130 Exec : in std_logic;
131 Ready : out std_logic;
132 LCD_CTRL : out LCD_DRVR_CTRL_BUSS
133 );
134 end component;
135
136
137 end;
This diff has been collapsed as it changes many lines, (674 lines changed) Show them Hide them
@@ -0,0 +1,674
1 GNU GENERAL PUBLIC LICENSE
2 Version 3, 29 June 2007
3
4 Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
5 Everyone is permitted to copy and distribute verbatim copies
6 of this license document, but changing it is not allowed.
7
8 Preamble
9
10 The GNU General Public License is a free, copyleft license for
11 software and other kinds of works.
12
13 The licenses for most software and other practical works are designed
14 to take away your freedom to share and change the works. By contrast,
15 the GNU General Public License is intended to guarantee your freedom to
16 share and change all versions of a program--to make sure it remains free
17 software for all its users. We, the Free Software Foundation, use the
18 GNU General Public License for most of our software; it applies also to
19 any other work released this way by its authors. You can apply it to
20 your programs, too.
21
22 When we speak of free software, we are referring to freedom, not
23 price. Our General Public Licenses are designed to make sure that you
24 have the freedom to distribute copies of free software (and charge for
25 them if you wish), that you receive source code or can get it if you
26 want it, that you can change the software or use pieces of it in new
27 free programs, and that you know you can do these things.
28
29 To protect your rights, we need to prevent others from denying you
30 these rights or asking you to surrender the rights. Therefore, you have
31 certain responsibilities if you distribute copies of the software, or if
32 you modify it: responsibilities to respect the freedom of others.
33
34 For example, if you distribute copies of such a program, whether
35 gratis or for a fee, you must pass on to the recipients the same
36 freedoms that you received. You must make sure that they, too, receive
37 or can get the source code. And you must show them these terms so they
38 know their rights.
39
40 Developers that use the GNU GPL protect your rights with two steps:
41 (1) assert copyright on the software, and (2) offer you this License
42 giving you legal permission to copy, distribute and/or modify it.
43
44 For the developers' and authors' protection, the GPL clearly explains
45 that there is no warranty for this free software. For both users' and
46 authors' sake, the GPL requires that modified versions be marked as
47 changed, so that their problems will not be attributed erroneously to
48 authors of previous versions.
49
50 Some devices are designed to deny users access to install or run
51 modified versions of the software inside them, although the manufacturer
52 can do so. This is fundamentally incompatible with the aim of
53 protecting users' freedom to change the software. The systematic
54 pattern of such abuse occurs in the area of products for individuals to
55 use, which is precisely where it is most unacceptable. Therefore, we
56 have designed this version of the GPL to prohibit the practice for those
57 products. If such problems arise substantially in other domains, we
58 stand ready to extend this provision to those domains in future versions
59 of the GPL, as needed to protect the freedom of users.
60
61 Finally, every program is threatened constantly by software patents.
62 States should not allow patents to restrict development and use of
63 software on general-purpose computers, but in those that do, we wish to
64 avoid the special danger that patents applied to a free program could
65 make it effectively proprietary. To prevent this, the GPL assures that
66 patents cannot be used to render the program non-free.
67
68 The precise terms and conditions for copying, distribution and
69 modification follow.
70
71 TERMS AND CONDITIONS
72
73 0. Definitions.
74
75 "This License" refers to version 3 of the GNU General Public License.
76
77 "Copyright" also means copyright-like laws that apply to other kinds of
78 works, such as semiconductor masks.
79
80 "The Program" refers to any copyrightable work licensed under this
81 License. Each licensee is addressed as "you". "Licensees" and
82 "recipients" may be individuals or organizations.
83
84 To "modify" a work means to copy from or adapt all or part of the work
85 in a fashion requiring copyright permission, other than the making of an
86 exact copy. The resulting work is called a "modified version" of the
87 earlier work or a work "based on" the earlier work.
88
89 A "covered work" means either the unmodified Program or a work based
90 on the Program.
91
92 To "propagate" a work means to do anything with it that, without
93 permission, would make you directly or secondarily liable for
94 infringement under applicable copyright law, except executing it on a
95 computer or modifying a private copy. Propagation includes copying,
96 distribution (with or without modification), making available to the
97 public, and in some countries other activities as well.
98
99 To "convey" a work means any kind of propagation that enables other
100 parties to make or receive copies. Mere interaction with a user through
101 a computer network, with no transfer of a copy, is not conveying.
102
103 An interactive user interface displays "Appropriate Legal Notices"
104 to the extent that it includes a convenient and prominently visible
105 feature that (1) displays an appropriate copyright notice, and (2)
106 tells the user that there is no warranty for the work (except to the
107 extent that warranties are provided), that licensees may convey the
108 work under this License, and how to view a copy of this License. If
109 the interface presents a list of user commands or options, such as a
110 menu, a prominent item in the list meets this criterion.
111
112 1. Source Code.
113
114 The "source code" for a work means the preferred form of the work
115 for making modifications to it. "Object code" means any non-source
116 form of a work.
117
118 A "Standard Interface" means an interface that either is an official
119 standard defined by a recognized standards body, or, in the case of
120 interfaces specified for a particular programming language, one that
121 is widely used among developers working in that language.
122
123 The "System Libraries" of an executable work include anything, other
124 than the work as a whole, that (a) is included in the normal form of
125 packaging a Major Component, but which is not part of that Major
126 Component, and (b) serves only to enable use of the work with that
127 Major Component, or to implement a Standard Interface for which an
128 implementation is available to the public in source code form. A
129 "Major Component", in this context, means a major essential component
130 (kernel, window system, and so on) of the specific operating system
131 (if any) on which the executable work runs, or a compiler used to
132 produce the work, or an object code interpreter used to run it.
133
134 The "Corresponding Source" for a work in object code form means all
135 the source code needed to generate, install, and (for an executable
136 work) run the object code and to modify the work, including scripts to
137 control those activities. However, it does not include the work's
138 System Libraries, or general-purpose tools or generally available free
139 programs which are used unmodified in performing those activities but
140 which are not part of the work. For example, Corresponding Source
141 includes interface definition files associated with source files for
142 the work, and the source code for shared libraries and dynamically
143 linked subprograms that the work is specifically designed to require,
144 such as by intimate data communication or control flow between those
145 subprograms and other parts of the work.
146
147 The Corresponding Source need not include anything that users
148 can regenerate automatically from other parts of the Corresponding
149 Source.
150
151 The Corresponding Source for a work in source code form is that
152 same work.
153
154 2. Basic Permissions.
155
156 All rights granted under this License are granted for the term of
157 copyright on the Program, and are irrevocable provided the stated
158 conditions are met. This License explicitly affirms your unlimited
159 permission to run the unmodified Program. The output from running a
160 covered work is covered by this License only if the output, given its
161 content, constitutes a covered work. This License acknowledges your
162 rights of fair use or other equivalent, as provided by copyright law.
163
164 You may make, run and propagate covered works that you do not
165 convey, without conditions so long as your license otherwise remains
166 in force. You may convey covered works to others for the sole purpose
167 of having them make modifications exclusively for you, or provide you
168 with facilities for running those works, provided that you comply with
169 the terms of this License in conveying all material for which you do
170 not control copyright. Those thus making or running the covered works
171 for you must do so exclusively on your behalf, under your direction
172 and control, on terms that prohibit them from making any copies of
173 your copyrighted material outside their relationship with you.
174
175 Conveying under any other circumstances is permitted solely under
176 the conditions stated below. Sublicensing is not allowed; section 10
177 makes it unnecessary.
178
179 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
180
181 No covered work shall be deemed part of an effective technological
182 measure under any applicable law fulfilling obligations under article
183 11 of the WIPO copyright treaty adopted on 20 December 1996, or
184 similar laws prohibiting or restricting circumvention of such
185 measures.
186
187 When you convey a covered work, you waive any legal power to forbid
188 circumvention of technological measures to the extent such circumvention
189 is effected by exercising rights under this License with respect to
190 the covered work, and you disclaim any intention to limit operation or
191 modification of the work as a means of enforcing, against the work's
192 users, your or third parties' legal rights to forbid circumvention of
193 technological measures.
194
195 4. Conveying Verbatim Copies.
196
197 You may convey verbatim copies of the Program's source code as you
198 receive it, in any medium, provided that you conspicuously and
199 appropriately publish on each copy an appropriate copyright notice;
200 keep intact all notices stating that this License and any
201 non-permissive terms added in accord with section 7 apply to the code;
202 keep intact all notices of the absence of any warranty; and give all
203 recipients a copy of this License along with the Program.
204
205 You may charge any price or no price for each copy that you convey,
206 and you may offer support or warranty protection for a fee.
207
208 5. Conveying Modified Source Versions.
209
210 You may convey a work based on the Program, or the modifications to
211 produce it from the Program, in the form of source code under the
212 terms of section 4, provided that you also meet all of these conditions:
213
214 a) The work must carry prominent notices stating that you modified
215 it, and giving a relevant date.
216
217 b) The work must carry prominent notices stating that it is
218 released under this License and any conditions added under section
219 7. This requirement modifies the requirement in section 4 to
220 "keep intact all notices".
221
222 c) You must license the entire work, as a whole, under this
223 License to anyone who comes into possession of a copy. This
224 License will therefore apply, along with any applicable section 7
225 additional terms, to the whole of the work, and all its parts,
226 regardless of how they are packaged. This License gives no
227 permission to license the work in any other way, but it does not
228 invalidate such permission if you have separately received it.
229
230 d) If the work has interactive user interfaces, each must display
231 Appropriate Legal Notices; however, if the Program has interactive
232 interfaces that do not display Appropriate Legal Notices, your
233 work need not make them do so.
234
235 A compilation of a covered work with other separate and independent
236 works, which are not by their nature extensions of the covered work,
237 and which are not combined with it such as to form a larger program,
238 in or on a volume of a storage or distribution medium, is called an
239 "aggregate" if the compilation and its resulting copyright are not
240 used to limit the access or legal rights of the compilation's users
241 beyond what the individual works permit. Inclusion of a covered work
242 in an aggregate does not cause this License to apply to the other
243 parts of the aggregate.
244
245 6. Conveying Non-Source Forms.
246
247 You may convey a covered work in object code form under the terms
248 of sections 4 and 5, provided that you also convey the
249 machine-readable Corresponding Source under the terms of this License,
250 in one of these ways:
251
252 a) Convey the object code in, or embodied in, a physical product
253 (including a physical distribution medium), accompanied by the
254 Corresponding Source fixed on a durable physical medium
255 customarily used for software interchange.
256
257 b) Convey the object code in, or embodied in, a physical product
258 (including a physical distribution medium), accompanied by a
259 written offer, valid for at least three years and valid for as
260 long as you offer spare parts or customer support for that product
261 model, to give anyone who possesses the object code either (1) a
262 copy of the Corresponding Source for all the software in the
263 product that is covered by this License, on a durable physical
264 medium customarily used for software interchange, for a price no
265 more than your reasonable cost of physically performing this
266 conveying of source, or (2) access to copy the
267 Corresponding Source from a network server at no charge.
268
269 c) Convey individual copies of the object code with a copy of the
270 written offer to provide the Corresponding Source. This
271 alternative is allowed only occasionally and noncommercially, and
272 only if you received the object code with such an offer, in accord
273 with subsection 6b.
274
275 d) Convey the object code by offering access from a designated
276 place (gratis or for a charge), and offer equivalent access to the
277 Corresponding Source in the same way through the same place at no
278 further charge. You need not require recipients to copy the
279 Corresponding Source along with the object code. If the place to
280 copy the object code is a network server, the Corresponding Source
281 may be on a different server (operated by you or a third party)
282 that supports equivalent copying facilities, provided you maintain
283 clear directions next to the object code saying where to find the
284 Corresponding Source. Regardless of what server hosts the
285 Corresponding Source, you remain obligated to ensure that it is
286 available for as long as needed to satisfy these requirements.
287
288 e) Convey the object code using peer-to-peer transmission, provided
289 you inform other peers where the object code and Corresponding
290 Source of the work are being offered to the general public at no
291 charge under subsection 6d.
292
293 A separable portion of the object code, whose source code is excluded
294 from the Corresponding Source as a System Library, need not be
295 included in conveying the object code work.
296
297 A "User Product" is either (1) a "consumer product", which means any
298 tangible personal property which is normally used for personal, family,
299 or household purposes, or (2) anything designed or sold for incorporation
300 into a dwelling. In determining whether a product is a consumer product,
301 doubtful cases shall be resolved in favor of coverage. For a particular
302 product received by a particular user, "normally used" refers to a
303 typical or common use of that class of product, regardless of the status
304 of the particular user or of the way in which the particular user
305 actually uses, or expects or is expected to use, the product. A product
306 is a consumer product regardless of whether the product has substantial
307 commercial, industrial or non-consumer uses, unless such uses represent
308 the only significant mode of use of the product.
309
310 "Installation Information" for a User Product means any methods,
311 procedures, authorization keys, or other information required to install
312 and execute modified versions of a covered work in that User Product from
313 a modified version of its Corresponding Source. The information must
314 suffice to ensure that the continued functioning of the modified object
315 code is in no case prevented or interfered with solely because
316 modification has been made.
317
318 If you convey an object code work under this section in, or with, or
319 specifically for use in, a User Product, and the conveying occurs as
320 part of a transaction in which the right of possession and use of the
321 User Product is transferred to the recipient in perpetuity or for a
322 fixed term (regardless of how the transaction is characterized), the
323 Corresponding Source conveyed under this section must be accompanied
324 by the Installation Information. But this requirement does not apply
325 if neither you nor any third party retains the ability to install
326 modified object code on the User Product (for example, the work has
327 been installed in ROM).
328
329 The requirement to provide Installation Information does not include a
330 requirement to continue to provide support service, warranty, or updates
331 for a work that has been modified or installed by the recipient, or for
332 the User Product in which it has been modified or installed. Access to a
333 network may be denied when the modification itself materially and
334 adversely affects the operation of the network or violates the rules and
335 protocols for communication across the network.
336
337 Corresponding Source conveyed, and Installation Information provided,
338 in accord with this section must be in a format that is publicly
339 documented (and with an implementation available to the public in
340 source code form), and must require no special password or key for
341 unpacking, reading or copying.
342
343 7. Additional Terms.
344
345 "Additional permissions" are terms that supplement the terms of this
346 License by making exceptions from one or more of its conditions.
347 Additional permissions that are applicable to the entire Program shall
348 be treated as though they were included in this License, to the extent
349 that they are valid under applicable law. If additional permissions
350 apply only to part of the Program, that part may be used separately
351 under those permissions, but the entire Program remains governed by
352 this License without regard to the additional permissions.
353
354 When you convey a copy of a covered work, you may at your option
355 remove any additional permissions from that copy, or from any part of
356 it. (Additional permissions may be written to require their own
357 removal in certain cases when you modify the work.) You may place
358 additional permissions on material, added by you to a covered work,
359 for which you have or can give appropriate copyright permission.
360
361 Notwithstanding any other provision of this License, for material you
362 add to a covered work, you may (if authorized by the copyright holders of
363 that material) supplement the terms of this License with terms:
364
365 a) Disclaiming warranty or limiting liability differently from the
366 terms of sections 15 and 16 of this License; or
367
368 b) Requiring preservation of specified reasonable legal notices or
369 author attributions in that material or in the Appropriate Legal
370 Notices displayed by works containing it; or
371
372 c) Prohibiting misrepresentation of the origin of that material, or
373 requiring that modified versions of such material be marked in
374 reasonable ways as different from the original version; or
375
376 d) Limiting the use for publicity purposes of names of licensors or
377 authors of the material; or
378
379 e) Declining to grant rights under trademark law for use of some
380 trade names, trademarks, or service marks; or
381
382 f) Requiring indemnification of licensors and authors of that
383 material by anyone who conveys the material (or modified versions of
384 it) with contractual assumptions of liability to the recipient, for
385 any liability that these contractual assumptions directly impose on
386 those licensors and authors.
387
388 All other non-permissive additional terms are considered "further
389 restrictions" within the meaning of section 10. If the Program as you
390 received it, or any part of it, contains a notice stating that it is
391 governed by this License along with a term that is a further
392 restriction, you may remove that term. If a license document contains
393 a further restriction but permits relicensing or conveying under this
394 License, you may add to a covered work material governed by the terms
395 of that license document, provided that the further restriction does
396 not survive such relicensing or conveying.
397
398 If you add terms to a covered work in accord with this section, you
399 must place, in the relevant source files, a statement of the
400 additional terms that apply to those files, or a notice indicating
401 where to find the applicable terms.
402
403 Additional terms, permissive or non-permissive, may be stated in the
404 form of a separately written license, or stated as exceptions;
405 the above requirements apply either way.
406
407 8. Termination.
408
409 You may not propagate or modify a covered work except as expressly
410 provided under this License. Any attempt otherwise to propagate or
411 modify it is void, and will automatically terminate your rights under
412 this License (including any patent licenses granted under the third
413 paragraph of section 11).
414
415 However, if you cease all violation of this License, then your
416 license from a particular copyright holder is reinstated (a)
417 provisionally, unless and until the copyright holder explicitly and
418 finally terminates your license, and (b) permanently, if the copyright
419 holder fails to notify you of the violation by some reasonable means
420 prior to 60 days after the cessation.
421
422 Moreover, your license from a particular copyright holder is
423 reinstated permanently if the copyright holder notifies you of the
424 violation by some reasonable means, this is the first time you have
425 received notice of violation of this License (for any work) from that
426 copyright holder, and you cure the violation prior to 30 days after
427 your receipt of the notice.
428
429 Termination of your rights under this section does not terminate the
430 licenses of parties who have received copies or rights from you under
431 this License. If your rights have been terminated and not permanently
432 reinstated, you do not qualify to receive new licenses for the same
433 material under section 10.
434
435 9. Acceptance Not Required for Having Copies.
436
437 You are not required to accept this License in order to receive or
438 run a copy of the Program. Ancillary propagation of a covered work
439 occurring solely as a consequence of using peer-to-peer transmission
440 to receive a copy likewise does not require acceptance. However,
441 nothing other than this License grants you permission to propagate or
442 modify any covered work. These actions infringe copyright if you do
443 not accept this License. Therefore, by modifying or propagating a
444 covered work, you indicate your acceptance of this License to do so.
445
446 10. Automatic Licensing of Downstream Recipients.
447
448 Each time you convey a covered work, the recipient automatically
449 receives a license from the original licensors, to run, modify and
450 propagate that work, subject to this License. You are not responsible
451 for enforcing compliance by third parties with this License.
452
453 An "entity transaction" is a transaction transferring control of an
454 organization, or substantially all assets of one, or subdividing an
455 organization, or merging organizations. If propagation of a covered
456 work results from an entity transaction, each party to that
457 transaction who receives a copy of the work also receives whatever
458 licenses to the work the party's predecessor in interest had or could
459 give under the previous paragraph, plus a right to possession of the
460 Corresponding Source of the work from the predecessor in interest, if
461 the predecessor has it or can get it with reasonable efforts.
462
463 You may not impose any further restrictions on the exercise of the
464 rights granted or affirmed under this License. For example, you may
465 not impose a license fee, royalty, or other charge for exercise of
466 rights granted under this License, and you may not initiate litigation
467 (including a cross-claim or counterclaim in a lawsuit) alleging that
468 any patent claim is infringed by making, using, selling, offering for
469 sale, or importing the Program or any portion of it.
470
471 11. Patents.
472
473 A "contributor" is a copyright holder who authorizes use under this
474 License of the Program or a work on which the Program is based. The
475 work thus licensed is called the contributor's "contributor version".
476
477 A contributor's "essential patent claims" are all patent claims
478 owned or controlled by the contributor, whether already acquired or
479 hereafter acquired, that would be infringed by some manner, permitted
480 by this License, of making, using, or selling its contributor version,
481 but do not include claims that would be infringed only as a
482 consequence of further modification of the contributor version. For
483 purposes of this definition, "control" includes the right to grant
484 patent sublicenses in a manner consistent with the requirements of
485 this License.
486
487 Each contributor grants you a non-exclusive, worldwide, royalty-free
488 patent license under the contributor's essential patent claims, to
489 make, use, sell, offer for sale, import and otherwise run, modify and
490 propagate the contents of its contributor version.
491
492 In the following three paragraphs, a "patent license" is any express
493 agreement or commitment, however denominated, not to enforce a patent
494 (such as an express permission to practice a patent or covenant not to
495 sue for patent infringement). To "grant" such a patent license to a
496 party means to make such an agreement or commitment not to enforce a
497 patent against the party.
498
499 If you convey a covered work, knowingly relying on a patent license,
500 and the Corresponding Source of the work is not available for anyone
501 to copy, free of charge and under the terms of this License, through a
502 publicly available network server or other readily accessible means,
503 then you must either (1) cause the Corresponding Source to be so
504 available, or (2) arrange to deprive yourself of the benefit of the
505 patent license for this particular work, or (3) arrange, in a manner
506 consistent with the requirements of this License, to extend the patent
507 license to downstream recipients. "Knowingly relying" means you have
508 actual knowledge that, but for the patent license, your conveying the
509 covered work in a country, or your recipient's use of the covered work
510 in a country, would infringe one or more identifiable patents in that
511 country that you have reason to believe are valid.
512
513 If, pursuant to or in connection with a single transaction or
514 arrangement, you convey, or propagate by procuring conveyance of, a
515 covered work, and grant a patent license to some of the parties
516 receiving the covered work authorizing them to use, propagate, modify
517 or convey a specific copy of the covered work, then the patent license
518 you grant is automatically extended to all recipients of the covered
519 work and works based on it.
520
521 A patent license is "discriminatory" if it does not include within
522 the scope of its coverage, prohibits the exercise of, or is
523 conditioned on the non-exercise of one or more of the rights that are
524 specifically granted under this License. You may not convey a covered
525 work if you are a party to an arrangement with a third party that is
526 in the business of distributing software, under which you make payment
527 to the third party based on the extent of your activity of conveying
528 the work, and under which the third party grants, to any of the
529 parties who would receive the covered work from you, a discriminatory
530 patent license (a) in connection with copies of the covered work
531 conveyed by you (or copies made from those copies), or (b) primarily
532 for and in connection with specific products or compilations that
533 contain the covered work, unless you entered into that arrangement,
534 or that patent license was granted, prior to 28 March 2007.
535
536 Nothing in this License shall be construed as excluding or limiting
537 any implied license or other defenses to infringement that may
538 otherwise be available to you under applicable patent law.
539
540 12. No Surrender of Others' Freedom.
541
542 If conditions are imposed on you (whether by court order, agreement or
543 otherwise) that contradict the conditions of this License, they do not
544 excuse you from the conditions of this License. If you cannot convey a
545 covered work so as to satisfy simultaneously your obligations under this
546 License and any other pertinent obligations, then as a consequence you may
547 not convey it at all. For example, if you agree to terms that obligate you
548 to collect a royalty for further conveying from those to whom you convey
549 the Program, the only way you could satisfy both those terms and this
550 License would be to refrain entirely from conveying the Program.
551
552 13. Use with the GNU Affero General Public License.
553
554 Notwithstanding any other provision of this License, you have
555 permission to link or combine any covered work with a work licensed
556 under version 3 of the GNU Affero General Public License into a single
557 combined work, and to convey the resulting work. The terms of this
558 License will continue to apply to the part which is the covered work,
559 but the special requirements of the GNU Affero General Public License,
560 section 13, concerning interaction through a network will apply to the
561 combination as such.
562
563 14. Revised Versions of this License.
564
565 The Free Software Foundation may publish revised and/or new versions of
566 the GNU General Public License from time to time. Such new versions will
567 be similar in spirit to the present version, but may differ in detail to
568 address new problems or concerns.
569
570 Each version is given a distinguishing version number. If the
571 Program specifies that a certain numbered version of the GNU General
572 Public License "or any later version" applies to it, you have the
573 option of following the terms and conditions either of that numbered
574 version or of any later version published by the Free Software
575 Foundation. If the Program does not specify a version number of the
576 GNU General Public License, you may choose any version ever published
577 by the Free Software Foundation.
578
579 If the Program specifies that a proxy can decide which future
580 versions of the GNU General Public License can be used, that proxy's
581 public statement of acceptance of a version permanently authorizes you
582 to choose that version for the Program.
583
584 Later license versions may give you additional or different
585 permissions. However, no additional obligations are imposed on any
586 author or copyright holder as a result of your choosing to follow a
587 later version.
588
589 15. Disclaimer of Warranty.
590
591 THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
592 APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
593 HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
594 OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
595 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
596 PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
597 IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
598 ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
599
600 16. Limitation of Liability.
601
602 IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
603 WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
604 THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
605 GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
606 USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
607 DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
608 PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
609 EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
610 SUCH DAMAGES.
611
612 17. Interpretation of Sections 15 and 16.
613
614 If the disclaimer of warranty and limitation of liability provided
615 above cannot be given local legal effect according to their terms,
616 reviewing courts shall apply local law that most closely approximates
617 an absolute waiver of all civil liability in connection with the
618 Program, unless a warranty or assumption of liability accompanies a
619 copy of the Program in return for a fee.
620
621 END OF TERMS AND CONDITIONS
622
623 How to Apply These Terms to Your New Programs
624
625 If you develop a new program, and you want it to be of the greatest
626 possible use to the public, the best way to achieve this is to make it
627 free software which everyone can redistribute and change under these terms.
628
629 To do so, attach the following notices to the program. It is safest
630 to attach them to the start of each source file to most effectively
631 state the exclusion of warranty; and each file should have at least
632 the "copyright" line and a pointer to where the full notice is found.
633
634 <one line to give the program's name and a brief idea of what it does.>
635 Copyright (C) <year> <name of author>
636
637 This program is free software: you can redistribute it and/or modify
638 it under the terms of the GNU General Public License as published by
639 the Free Software Foundation, either version 3 of the License, or
640 (at your option) any later version.
641
642 This program is distributed in the hope that it will be useful,
643 but WITHOUT ANY WARRANTY; without even the implied warranty of
644 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
645 GNU General Public License for more details.
646
647 You should have received a copy of the GNU General Public License
648 along with this program. If not, see <http://www.gnu.org/licenses/>.
649
650 Also add information on how to contact you by electronic and paper mail.
651
652 If the program does terminal interaction, make it output a short
653 notice like this when it starts in an interactive mode:
654
655 <program> Copyright (C) <year> <name of author>
656 This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
657 This is free software, and you are welcome to redistribute it
658 under certain conditions; type `show c' for details.
659
660 The hypothetical commands `show w' and `show c' should show the appropriate
661 parts of the General Public License. Of course, your program's commands
662 might be different; for a GUI interface, you would use an "about box".
663
664 You should also get your employer (if you work as a programmer) or school,
665 if any, to sign a "copyright disclaimer" for the program, if necessary.
666 For more information on this, and how to apply and follow the GNU GPL, see
667 <http://www.gnu.org/licenses/>.
668
669 The GNU General Public License does not permit incorporating your program
670 into proprietary programs. If your program is a subroutine library, you
671 may consider it more useful to permit linking proprietary applications with
672 the library. If this is what you want to do, use the GNU Lesser General
673 Public License instead of this License. But first, please read
674 <http://www.gnu.org/philosophy/why-not-lgpl.html>.
@@ -0,0 +1,18
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
@@ -0,0 +1,72
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP GPL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35
36 case $1 in
37 -R | --recursive )
38 for file in $(find . -name '*.vhd')
39 do
40 if(grep -q "This program is free software" $file); then
41 echo "$file already contains GPL HEADER"
42 else
43 echo "Modifying file : $file"
44 more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp
45 cat $file >> $file.tmp
46 mv $file.tmp $file
47 fi
48 done
49 ;;
50 -h | --help | --h | -help)
51 echo 'Help:
52 This script add a GPL HEADER in all vhdl files.
53
54 -R or --recurcive:
55 Analyse recurcively folders starting from $LPP_PATCHPATH'
56 ;;
57 * )
58 for file in $(ls *.vhd)
59 do
60 if(grep -q "This program is free software" $file); then
61 echo "$file already contains GPL HEADER"
62 else
63 echo "Modifying file : $file"
64 more $LPP_PATCHPATH/lib/GPL_HEADER >> $file.tmp
65 cat $file >> $file.tmp
66 mv $file.tmp $file
67 fi
68 done
69 ;;
70
71 esac
72
@@ -0,0 +1,72
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP GPL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35
36 case $1 in
37 -R | --recursive )
38 for file in $(find . -name '*.vhd')
39 do
40 if(grep -q "This program is free software" $file); then
41 echo "$file already contains GPL HEADER"
42 else
43 echo "Modifying file : $file"
44 more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp
45 cat $file >> $file.tmp
46 mv $file.tmp $file
47 fi
48 done
49 ;;
50 -h | --help | --h | -help)
51 echo 'Help:
52 This script add a GPL HEADER in all vhdl files.
53
54 -R or --recurcive:
55 Analyse recurcively folders starting from $LPP_PATCHPATH'
56 ;;
57 * )
58 for file in $(ls *.vhd)
59 do
60 if(grep -q "This program is free software" $file); then
61 echo "$file already contains GPL HEADER"
62 else
63 echo "Modifying file : $file"
64 more $LPP_PATCHPATH/licenses/GPL_V2/HEADER >> $file.tmp
65 cat $file >> $file.tmp
66 mv $file.tmp $file
67 fi
68 done
69 ;;
70
71 esac
72
@@ -0,0 +1,50
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP VHDL lib makeDirs "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29
30 LPP_PATCHPATH=`pwd -L`
31
32 cd $LPP_PATCHPATH/lib/lpp
33
34
35 #find . -type d|grep ./>$LPP_PATCHPATH/lib/lpp/dirs.txt
36
37 rm $LPP_PATCHPATH/lib/lpp/dirs.txt
38
39 for folders in $(find . -type d|grep ./)
40 do
41 echo "enter folder : $folders"
42 files=$(ls $folders|grep .vhd)
43 if(ls $folders|grep .vhd|grep -i -v .html|grep -i -v .tex); then
44 echo "found $files"
45 echo $folders>>$LPP_PATCHPATH/lib/lpp/dirs.txt
46 fi
47 done
48
49
50 cd $LPP_PATCHPATH
@@ -0,0 +1,89
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB GLOBAL PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '------------------------------------------------------------------------------
7 -- This file is a part of the LPP VHDL IP LIBRARY
8 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
9 --
10 -- This program is free software; you can redistribute it and/or modify
11 -- it under the terms of the GNU General Public License as published by
12 -- the Free Software Foundation; either version 2 of the License, or
13 -- (at your option) any later version.
14 --
15 -- This program is distributed in the hope that it will be useful,
16 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
17 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 -- GNU General Public License for more details.
19 --
20 -- You should have received a copy of the GNU General Public License
21 -- along with this program; if not, write to the Free Software
22 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 -------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35 GRLIBPATH=$1
36
37
38 if [ -d "$GRLIBPATH" ]; then
39 if [ -d "$GRLIBPATH/lib" ]; then
40 if [ -d "$GRLIBPATH/designs" ]; then
41 if [ -d "$GRLIBPATH/boards" ]; then
42 #PATCH /lib
43 echo "patch /lib"
44 echo
45
46 sh scripts/patchlibs.sh $GRLIBPATH
47
48 #PATCH /boards
49 echo "patch /boards"
50 echo
51 sh scripts/patchboards.sh $GRLIBPATH
52
53 #PATCH /designs
54 echo "patch /designs"
55 echo
56 sh scripts/patchdesigns.sh $GRLIBPATH
57
58 echo
59 echo
60
61 #CLEAN
62 echo "CLEANING .."
63 rm -v $1/lib/*.sh
64 rm -v $1/lib/TODO
65 rm -v $1/lib/Makefile
66 rm -v $1/lib/log.txt
67 echo
68 echo
69 echo
70 else
71 echo "I can't find GRLIB in $1"
72 fi
73
74 else
75 echo "I can't find GRLIB in $1"
76 fi
77 else
78 echo "I can't find GRLIB in $1"
79 fi
80
81 else
82 echo "I can't find GRLIB in $1"
83 fi
84
85
86
87
88
89
@@ -0,0 +1,48
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB Boards PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching boards..."
32 echo
33 echo
34
35 #COPY
36 echo "Copy boards Files..."
37 cp -R -v $LPP_LIBPATH/boards $1
38 echo
39 echo
40 echo
41
42
43 #CLEAN
44 echo "CLEANING .."
45 rm -v $1/boards/*.sh
46 echo
47 echo
48 echo
@@ -0,0 +1,49
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB Designs PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching designs..."
32 echo
33 echo
34
35 #COPY
36 echo "Copy designs Files..."
37 cp -R -v $LPP_LIBPATH/designs $1
38 echo
39 echo
40 echo
41
42
43 #CLEAN
44 echo "CLEANING .."
45 rm -v $1/designs/*.sh
46 echo
47 echo
48 echo
49
@@ -0,0 +1,64
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP's GRLIB IPs PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28
29 LPP_LIBPATH=`pwd -L`
30
31 echo "Patching Grlib..."
32 echo
33 echo
34
35 #COPY
36 echo "Remove old lib Files..."
37 rm -R -v $1/lib/lpp
38 echo "Copy lib Files..."
39 cp -R -v $LPP_LIBPATH/lib $1
40 echo
41 echo
42 echo
43
44
45 #PATCH libs.txt
46 echo "Patch $1/lib/libs.txt..."
47 if(grep -q lpp $1/lib/libs.txt); then
48 echo "No need to Patch $1/lib/libs.txt..."
49 else
50 echo lpp>>$1/lib/libs.txt
51 fi
52
53 echo
54 echo
55 echo
56
57 #CLEAN
58 echo "CLEANING .."
59 rm -v $1/lib/*.sh
60 rm -v $1/lib/GPL_HEADER
61 echo
62 echo
63 echo
64
@@ -0,0 +1,61
1 echo "======================================================================================="
2 echo "---------------------------------------------------------------------------------------"
3 echo " LPP vhdlsyn PATCHER "
4 echo " Copyright (C) 2010 Laboratory of Plasmas Physic. "
5 echo "======================================================================================="
6 echo '----------------------------------------------------------------------------------------
7 This file is a part of the LPP VHDL IP LIBRARY
8 Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
9
10 This program is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2 of the License, or
13 (at your option) any later version.
14
15 This program is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with this program; if not, write to the Free Software
22 Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
23 ----------------------------------------------------------------------------------------'
24 echo
25 echo
26 echo
27
28 # Absolute path to this script. /home/user/bin/foo.sh
29 #SCRIPT=$(readlink -f $0)
30 # Absolute path this script is in. /home/user/bin
31
32 #LPP_PATCHPATH=`dirname $SCRIPT`
33 LPP_PATCHPATH=`pwd -L`
34
35 cd $LPP_PATCHPATH/lib/lpp
36
37 echo `pwd -L`
38
39 case $1 in
40 -h | --help | --h | -help)
41 echo 'Help:
42 This script add all non testbensh VHDL files in vhdlsyn.txt file of each folder.'
43 ;;
44 * )
45 for folders in $(find . -type d|grep ./)
46 do
47 echo "enter folder : $folders"
48 files=$(ls $folders | grep .vhd | grep -i -v "test")
49 echo "found $files"
50 rm $folders/vhdlsyn.txt
51 for file in $files
52 do
53 echo "$file">>$folders/vhdlsyn.txt
54 done
55 done
56 ;;
57
58 esac
59
60 cd $LPP_PATCHPATH
61
@@ -1,10 +1,20
1 SCRIPTSDIR=scripts/
2 LIBDIR=lib/
3 BOARDSDIR=boards/
4 DESIGNSDIR=designs/
5
6
7
8
9
10
1 all: help
11 all: help
2
12
3 help:
13 help:
4 @echo
14 @echo
5 @echo " batch targets:"
15 @echo " batch targets:"
6 @echo
16 @echo
7 @echo " make Patch-GRLIB : install library into $(GRLIB)"
17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
8 @echo " make dist : create a tar file for using into an other computer"
18 @echo " make dist : create a tar file for using into an other computer"
9 @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer"
19 @echo " make Patched-dist : create a tar file for with a patched grlib for using into an other computer"
10 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
20 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
@@ -13,15 +23,15 help:
13 @echo
23 @echo
14
24
15 allGPL:
25 allGPL:
16 sh lib/GPL_Patcher.sh -R
26 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R
17
27
18 init: allGPL
28 init: allGPL
19 sh lib/lpp/vhdlsynPatcher.sh
29 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
20 sh lib/lpp/makeDirs.sh lib/lpp
30 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
21
31
22
32
23 Patch-GRLIB: init
33 Patch-GRLIB: init doc
24 sh patch.sh $(GRLIB)
34 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
25
35
26
36
27 dist: init
37 dist: init
@@ -1,3 +1,5
1 Write a README
1 x patch VENDOR Ids
2
2 x Write a README
3
3 x add app_simple_diode
4 x add LCD_16x2_DRIVER.vhd
5 Write how_to_improve.pdf
@@ -38,7 +38,7 PROJECT_NUMBER = 1.0
38 # If a relative path is entered, it will be relative to the location
38 # If a relative path is entered, it will be relative to the location
39 # where doxygen was started. If left blank the current directory will be used.
39 # where doxygen was started. If left blank the current directory will be used.
40
40
41 OUTPUT_DIRECTORY = doc
41 OUTPUT_DIRECTORY = ../../doc/
42
42
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
General Comments 0
You need to be logged in to leave comments. Login now