1 | NO CONTENT: new file 100644, binary diff hidden |
|
NO CONTENT: new file 100644, binary diff hidden |
@@ -13,6 +13,6 use grlib.amba.all; | |||||
13 | use std.textio.all; |
|
13 | use std.textio.all; | |
14 |
|
14 | |||
15 |
|
15 | |||
16 |
package |
|
16 | package apb_devices_list is | |
17 |
|
17 | |||
18 |
|
18 |
@@ -1,3 +1,24 | |||||
|
1 | /*------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | -------------------------------------------------------------------------------*/ | |||
|
19 | /*-- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ----------------------------------------------------------------------------*/ | |||
1 | #include "stdio.h" |
|
22 | #include "stdio.h" | |
2 | #include "lpp_apb_functions.h" |
|
23 | #include "lpp_apb_functions.h" | |
3 | #include "apb_lcd_driver.h" |
|
24 | #include "apb_lcd_driver.h" |
@@ -1,3 +1,21 | |||||
|
1 | /*------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | -------------------------------------------------------------------------------*/ | |||
1 | #include "stdio.h" |
|
19 | #include "stdio.h" | |
2 | #include "lpp_apb_functions.h" |
|
20 | #include "lpp_apb_functions.h" | |
3 |
|
21 |
@@ -1,3 +1,21 | |||||
|
1 | /*------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | -------------------------------------------------------------------------------*/ | |||
1 | #ifndef APB_CNA_DRIVER_H |
|
19 | #ifndef APB_CNA_DRIVER_H | |
2 | #define APB_CNA_DRIVER_H |
|
20 | #define APB_CNA_DRIVER_H | |
3 |
|
21 |
@@ -23,15 +23,7 | |||||
23 | #define APB_BASE_ADDRS 0x80000000 |
|
23 | #define APB_BASE_ADDRS 0x80000000 | |
24 | #define APB_MAX_DEVICES 256 |
|
24 | #define APB_MAX_DEVICES 256 | |
25 |
|
25 | |||
26 | #define VENDOR_LPP 0x19 |
|
26 | #include "apb_devices_list.h" | |
27 |
|
||||
28 | #define ROCKET_TM 0x001 |
|
|||
29 | #define otherCore 0x002 |
|
|||
30 | #define LPP_SIMPLE_DIODE 0x003 |
|
|||
31 | #define LPP_MULTI_DIODE 0x004 |
|
|||
32 | #define LPP_LCD_CTRLR 0x005 |
|
|||
33 | #define LPP_UART_CTRLR 0x006 |
|
|||
34 | #define LPP_DAC_CTRLR 0x007 |
|
|||
35 |
|
27 | |||
36 | /** @todo implemente a descriptor structure for any APB device */ |
|
28 | /** @todo implemente a descriptor structure for any APB device */ | |
37 |
|
29 |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | -------------------------------------------------------------------------------*/ |
|
18 | -------------------------------------------------------------------------------*/ | |
|
19 | /*-- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ----------------------------------------------------------------------------*/ | |||
19 | #include "lpp_apb_functions.h" |
|
22 | #include "lpp_apb_functions.h" | |
20 | #include <stdio.h> |
|
23 | #include <stdio.h> | |
21 |
|
24 |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | -------------------------------------------------------------------------------*/ |
|
18 | -------------------------------------------------------------------------------*/ | |
|
19 | /*-- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ----------------------------------------------------------------------------*/ | |||
19 | #ifndef LPP_APB_FUNCTIONS_H |
|
22 | #ifndef LPP_APB_FUNCTIONS_H | |
20 | #define LPP_APB_FUNCTIONS_H |
|
23 | #define LPP_APB_FUNCTIONS_H | |
21 |
|
24 |
@@ -1,3 +1,21 | |||||
|
1 | /*------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | -------------------------------------------------------------------------------*/ | |||
1 | #include "apb_dac_Driver.h" |
|
19 | #include "apb_dac_Driver.h" | |
2 | #include "lpp_apb_functions.h" |
|
20 | #include "lpp_apb_functions.h" | |
3 | #include <stdio.h> |
|
21 | #include <stdio.h> |
@@ -1,3 +1,21 | |||||
|
1 | /*------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | -------------------------------------------------------------------------------*/ | |||
1 | #ifndef APB_CNA_DRIVER_H |
|
19 | #ifndef APB_CNA_DRIVER_H | |
2 | #define APB_CNA_DRIVER_H |
|
20 | #define APB_CNA_DRIVER_H | |
3 |
|
21 |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | -------------------------------------------------------------------------------*/ |
|
18 | -------------------------------------------------------------------------------*/ | |
|
19 | /*-- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ----------------------------------------------------------------------------*/ | |||
19 | #include "apb_lcd_driver.h" |
|
22 | #include "apb_lcd_driver.h" | |
20 | #include "lpp_apb_functions.h" |
|
23 | #include "lpp_apb_functions.h" | |
21 | #include "lpp_apb_functions.h" |
|
24 | #include "lpp_apb_functions.h" |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | -------------------------------------------------------------------------------*/ |
|
18 | -------------------------------------------------------------------------------*/ | |
|
19 | /*-- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ----------------------------------------------------------------------------*/ | |||
19 | #ifndef APB_LCD_DRIVER_H |
|
22 | #ifndef APB_LCD_DRIVER_H | |
20 | #define APB_LCD_DRIVER_H |
|
23 | #define APB_LCD_DRIVER_H | |
21 |
|
24 |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use IEEE.NUMERIC_STD.ALL; |
|
24 | use IEEE.NUMERIC_STD.ALL; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.all; |
|
23 | use IEEE.STD_LOGIC_1164.all; | |
21 | library lpp; |
|
24 | library lpp; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 |
|
22 | |||
20 | ---TDODO => Clean Enable pulse FSM |
|
23 | ---TDODO => Clean Enable pulse FSM | |
21 | library IEEE; |
|
24 | library IEEE; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use IEEE.NUMERIC_STD.ALL; |
|
24 | use IEEE.NUMERIC_STD.ALL; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use IEEE.NUMERIC_STD.all; |
|
24 | use IEEE.NUMERIC_STD.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use IEEE.NUMERIC_STD.ALL; |
|
24 | use IEEE.NUMERIC_STD.ALL; |
@@ -16,7 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | ------------------------------------------------------------------------------ |
|
19 | -- Author : Alexis Jeandet | |
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
20 | library IEEE; |
|
22 | library IEEE; | |
21 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
22 |
|
24 |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | library grlib; |
|
24 | library grlib; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | -------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use ieee.numeric_std.all; |
|
24 | use ieee.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | use ieee.numeric_std.all; |
|
24 | use ieee.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,10 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | ------------------------------------------------------------------------------ |
|
19 | -- Author : Alexis Jeandet | |
20 | -- This file is a part of the LPP VHDL IP LIBRARY |
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |
21 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS |
|
21 | ------------------------------------------------------------------------------- | |
22 | -- |
|
|||
23 |
|
22 | |||
24 | library IEEE; |
|
23 | library IEEE; | |
25 | use IEEE.numeric_std.all; |
|
24 | use IEEE.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ------------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | use IEEE.numeric_std.all; |
|
24 | use IEEE.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | use IEEE.numeric_std.all; |
|
24 | use IEEE.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,7 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | ------------------------------------------------------------------------------ |
|
19 | -- Author : Alexis Jeandet | |
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
20 | library ieee; |
|
22 | library ieee; | |
21 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
22 | library grlib; |
|
24 | library grlib; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,9 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | ------------------------------------------------------------------------------ |
|
19 | -- Author : Alexis Jeandet | |
20 | -- This file is a part of the LPP VHDL IP LIBRARY |
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |
21 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS |
|
21 | ---------------------------------------------------------------------------- | |
22 | library IEEE; |
|
22 | library IEEE; | |
23 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
24 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 |
|
24 |
@@ -3,6 +3,22 | |||||
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS |
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |
4 | -- |
|
4 | -- | |
5 | -- This program is free software; you can redistribute it and/or modify |
|
5 | -- This program is free software; you can redistribute it and/or modify | |
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
6 | library IEEE; |
|
22 | library IEEE; | |
7 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
8 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,7 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
19 | ------------------------------------------------------------------------------ |
|
19 | -- Author : Alexis Jeandet | |
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
20 | library IEEE; |
|
22 | library IEEE; | |
21 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
22 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 |
|
24 | |||
@@ -27,16 +30,13 package general_purpose is | |||||
27 |
|
30 | |||
28 | component Clk_divider is |
|
31 | component Clk_divider is | |
29 | generic(OSC_freqHz : integer := 50000000; |
|
32 | generic(OSC_freqHz : integer := 50000000; | |
30 |
|
|
33 | TargetFreq_Hz : integer := 50000); | |
31 | Port ( clk : in STD_LOGIC; |
|
34 | Port ( clk : in STD_LOGIC; | |
32 | reset : in STD_LOGIC; |
|
35 | reset : in STD_LOGIC; | |
33 | clk_divided : out STD_LOGIC); |
|
36 | clk_divided : out STD_LOGIC); | |
34 | end component; |
|
37 | end component; | |
35 |
|
38 | |||
36 |
|
39 | |||
37 |
|
||||
38 |
|
||||
39 |
|
||||
40 | component Adder is |
|
40 | component Adder is | |
41 | generic( |
|
41 | generic( | |
42 | Input_SZ_A : integer := 16; |
|
42 | Input_SZ_A : integer := 16; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | library lpp; |
|
24 | library lpp; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | library lpp; |
|
24 | library lpp; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | library lpp; |
|
24 | library lpp; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 |
|
22 | |||
20 | library IEEE; |
|
23 | library IEEE; | |
21 | use IEEE.STD_LOGIC_1164.all; |
|
24 | use IEEE.STD_LOGIC_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.STD_LOGIC_1164.ALL; |
|
23 | use IEEE.STD_LOGIC_1164.ALL; | |
21 | use ieee.numeric_std.all; |
|
24 | use ieee.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | --use ieee.numeric_std.all; |
|
24 | --use ieee.numeric_std.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library ieee; |
|
22 | library ieee; | |
20 | use ieee.std_logic_1164.all; |
|
23 | use ieee.std_logic_1164.all; | |
21 | library grlib; |
|
24 | library grlib; |
@@ -1,3 +1,4 | |||||
|
1 | apb_devices_list.vhd | |||
1 | APB_MULTI_DIODE.vhd |
|
2 | APB_MULTI_DIODE.vhd | |
2 | APB_MULTI_DIODE.vhd.orig |
|
3 | APB_MULTI_DIODE.vhd.orig | |
3 | APB_SIMPLE_DIODE.vhd |
|
4 | APB_SIMPLE_DIODE.vhd |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- APB_CNA.vhd |
|
19 | -- APB_CNA.vhd | |
2 |
|
20 | |||
3 | library ieee; |
|
21 | library ieee; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- CNA_TabloC.vhd |
|
19 | -- CNA_TabloC.vhd | |
2 | library IEEE; |
|
20 | library IEEE; | |
3 | use IEEE.std_logic_1164.all; |
|
21 | use IEEE.std_logic_1164.all; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- Convertisseur_config.vhd |
|
19 | -- Convertisseur_config.vhd | |
2 | library IEEE; |
|
20 | library IEEE; | |
3 | use IEEE.std_logic_1164.all; |
|
21 | use IEEE.std_logic_1164.all; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- Gene_SYNC.vhd |
|
19 | -- Gene_SYNC.vhd | |
2 | library IEEE; |
|
20 | library IEEE; | |
3 | use IEEE.std_logic_1164.all; |
|
21 | use IEEE.std_logic_1164.all; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- Serialize.vhd |
|
19 | -- Serialize.vhd | |
2 | library IEEE; |
|
20 | library IEEE; | |
3 | use IEEE.numeric_std.all; |
|
21 | use IEEE.numeric_std.all; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | -- Systeme_Clock.vhd |
|
19 | -- Systeme_Clock.vhd | |
2 | library IEEE; |
|
20 | library IEEE; | |
3 | use IEEE.std_logic_1164.all; |
|
21 | use IEEE.std_logic_1164.all; |
@@ -1,3 +1,21 | |||||
|
1 | ------------------------------------------------------------------------------ | |||
|
2 | -- This file is a part of the LPP VHDL IP LIBRARY | |||
|
3 | -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS | |||
|
4 | -- | |||
|
5 | -- This program is free software; you can redistribute it and/or modify | |||
|
6 | -- it under the terms of the GNU General Public License as published by | |||
|
7 | -- the Free Software Foundation; either version 3 of the License, or | |||
|
8 | -- (at your option) any later version. | |||
|
9 | -- | |||
|
10 | -- This program is distributed in the hope that it will be useful, | |||
|
11 | -- but WITHOUT ANY WARRANTY; without even the implied warranty of | |||
|
12 | -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |||
|
13 | -- GNU General Public License for more details. | |||
|
14 | -- | |||
|
15 | -- You should have received a copy of the GNU General Public License | |||
|
16 | -- along with this program; if not, write to the Free Software | |||
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |||
|
18 | ------------------------------------------------------------------------------- | |||
1 | library ieee; |
|
19 | library ieee; | |
2 | use ieee.std_logic_1164.all; |
|
20 | use ieee.std_logic_1164.all; | |
3 | library grlib; |
|
21 | library grlib; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -16,6 +16,9 | |||||
16 | -- along with this program; if not, write to the Free Software |
|
16 | -- along with this program; if not, write to the Free Software | |
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
|
17 | -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA | |
18 | ------------------------------------------------------------------------------- |
|
18 | ------------------------------------------------------------------------------- | |
|
19 | -- Author : Alexis Jeandet | |||
|
20 | -- Mail : alexis.jeandet@lpp.polytechnique.fr | |||
|
21 | ---------------------------------------------------------------------------- | |||
19 | library IEEE; |
|
22 | library IEEE; | |
20 | use IEEE.numeric_std.all; |
|
23 | use IEEE.numeric_std.all; | |
21 | use IEEE.std_logic_1164.all; |
|
24 | use IEEE.std_logic_1164.all; |
@@ -45,20 +45,20 CListFILE=$LPP_PATCHPATH/LPP_drivers/lib | |||||
45 | cat $VHDFileStart>$VHDListFILE |
|
45 | cat $VHDFileStart>$VHDListFILE | |
46 | cat $CFileStart>$CListFILE |
|
46 | cat $CFileStart>$CListFILE | |
47 |
|
47 | |||
48 | grep vendor $ListFILE | sed "s/vendor /constant /" | sed "s/.* /& : amba_vendor_type := 16#/" | sed "s/.*#*/&;/" >> $VHDListFILE |
|
48 | grep vendor $ListFILE | sed "s/vendor /constant /" | sed "s/.* /& : amba_vendor_type := 16#/" | sed "s/.*#*/&#;/" >> $VHDListFILE | |
49 | grep vendor $ListFILE | sed "s/vendor /#define /" | sed "s/.* /& 0x/" >> $CListFILE |
|
49 | grep vendor $ListFILE | sed "s/vendor /#define /" | sed "s/.* /& 0x/" >> $CListFILE | |
50 |
|
50 | |||
51 | echo " ">>$VHDListFILE |
|
51 | echo " ">>$VHDListFILE | |
52 | echo " ">>$CListFILE |
|
52 | echo " ">>$CListFILE | |
53 |
|
53 | |||
54 | grep device $ListFILE | sed "s/device /constant /" | sed "s/.* /& : amba_device_type := 16#/" | sed "s/.*#*/&;/" >> $VHDListFILE |
|
54 | grep device $ListFILE | sed "s/device /constant /" | sed "s/.* /& : amba_device_type := 16#/" | sed "s/.*#*/&#;/" >> $VHDListFILE | |
55 | grep device $ListFILE | sed "s/device /#define /" | sed "s/.* /& 0x/" >> $CListFILE |
|
55 | grep device $ListFILE | sed "s/device /#define /" | sed "s/.* /& 0x/" >> $CListFILE | |
56 |
|
56 | |||
57 | cat $VHDFileEnd>>$VHDListFILE |
|
57 | cat $VHDFileEnd>>$VHDListFILE | |
58 | cat $CFileEnd>>$CListFILE |
|
58 | cat $CFileEnd>>$CListFILE | |
59 |
|
59 | |||
60 |
sh $ |
|
60 | sh $LPP_PATCHPATH/scripts/GPL_Patcher.sh vhd $LPP_PATCHPATH/lib/lpp/lpp_amba/ | |
61 |
sh $ |
|
61 | sh $LPP_PATCHPATH/scripts/GPL_Patcher.sh h $LPP_PATCHPATH/LPP_drivers/libsrc/AMBA/ | |
62 |
|
62 | |||
63 | cd $LPP_PATCHPATH |
|
63 | cd $LPP_PATCHPATH | |
64 |
|
64 |
General Comments 0
You need to be logged in to leave comments.
Login now