# HG changeset patch # User Alexis # Date 2010-12-11 16:38:22 # Node ID 3488e1e8450628b8b5afac145c033936b10154fa # Parent 81e639333c9dda5f86cc6dac362dec35d00a9b5e Minor changes diff --git a/APB_DEVICES/VHDListSTART b/APB_DEVICES/VHDListSTART --- a/APB_DEVICES/VHDListSTART +++ b/APB_DEVICES/VHDListSTART @@ -13,6 +13,6 @@ use grlib.amba.all; use std.textio.all; -package lpp_apb_devices is +package apb_devices_list is diff --git a/LPP_drivers/exemples/APB_lcd_ctrlr/main.c b/LPP_drivers/exemples/APB_lcd_ctrlr/main.c --- a/LPP_drivers/exemples/APB_lcd_ctrlr/main.c +++ b/LPP_drivers/exemples/APB_lcd_ctrlr/main.c @@ -1,3 +1,24 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ +/*-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +----------------------------------------------------------------------------*/ #include "stdio.h" #include "lpp_apb_functions.h" #include "apb_lcd_driver.h" diff --git a/LPP_drivers/exemples/ScanAPB/main.c b/LPP_drivers/exemples/ScanAPB/main.c --- a/LPP_drivers/exemples/ScanAPB/main.c +++ b/LPP_drivers/exemples/ScanAPB/main.c @@ -1,3 +1,21 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ #include "stdio.h" #include "lpp_apb_functions.h" diff --git a/LPP_drivers/includes/apb_dac_Driver.h b/LPP_drivers/includes/apb_dac_Driver.h --- a/LPP_drivers/includes/apb_dac_Driver.h +++ b/LPP_drivers/includes/apb_dac_Driver.h @@ -1,3 +1,21 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ #ifndef APB_CNA_DRIVER_H #define APB_CNA_DRIVER_H diff --git a/LPP_drivers/includes/lpp_apb_functions.h b/LPP_drivers/includes/lpp_apb_functions.h --- a/LPP_drivers/includes/lpp_apb_functions.h +++ b/LPP_drivers/includes/lpp_apb_functions.h @@ -23,15 +23,7 @@ #define APB_BASE_ADDRS 0x80000000 #define APB_MAX_DEVICES 256 -#define VENDOR_LPP 0x19 - -#define ROCKET_TM 0x001 -#define otherCore 0x002 -#define LPP_SIMPLE_DIODE 0x003 -#define LPP_MULTI_DIODE 0x004 -#define LPP_LCD_CTRLR 0x005 -#define LPP_UART_CTRLR 0x006 -#define LPP_DAC_CTRLR 0x007 +#include "apb_devices_list.h" /** @todo implemente a descriptor structure for any APB device */ diff --git a/LPP_drivers/libsrc/AMBA/lpp_apb_functions.c b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.c --- a/LPP_drivers/libsrc/AMBA/lpp_apb_functions.c +++ b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.c @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------*/ +/*-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +----------------------------------------------------------------------------*/ #include "lpp_apb_functions.h" #include diff --git a/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h --- a/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h +++ b/LPP_drivers/libsrc/AMBA/lpp_apb_functions.h @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------*/ +/*-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +----------------------------------------------------------------------------*/ #ifndef LPP_APB_FUNCTIONS_H #define LPP_APB_FUNCTIONS_H diff --git a/LPP_drivers/libsrc/DAC/apb_dac_Driver.c b/LPP_drivers/libsrc/DAC/apb_dac_Driver.c --- a/LPP_drivers/libsrc/DAC/apb_dac_Driver.c +++ b/LPP_drivers/libsrc/DAC/apb_dac_Driver.c @@ -1,3 +1,21 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ #include "apb_dac_Driver.h" #include "lpp_apb_functions.h" #include diff --git a/LPP_drivers/libsrc/DAC/apb_dac_Driver.h b/LPP_drivers/libsrc/DAC/apb_dac_Driver.h --- a/LPP_drivers/libsrc/DAC/apb_dac_Driver.h +++ b/LPP_drivers/libsrc/DAC/apb_dac_Driver.h @@ -1,3 +1,21 @@ +/*------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +-------------------------------------------------------------------------------*/ #ifndef APB_CNA_DRIVER_H #define APB_CNA_DRIVER_H diff --git a/LPP_drivers/libsrc/LCD/apb_lcd_driver.c b/LPP_drivers/libsrc/LCD/apb_lcd_driver.c --- a/LPP_drivers/libsrc/LCD/apb_lcd_driver.c +++ b/LPP_drivers/libsrc/LCD/apb_lcd_driver.c @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------*/ +/*-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +----------------------------------------------------------------------------*/ #include "apb_lcd_driver.h" #include "lpp_apb_functions.h" #include "lpp_apb_functions.h" diff --git a/LPP_drivers/libsrc/LCD/apb_lcd_driver.h b/LPP_drivers/libsrc/LCD/apb_lcd_driver.h --- a/LPP_drivers/libsrc/LCD/apb_lcd_driver.h +++ b/LPP_drivers/libsrc/LCD/apb_lcd_driver.h @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------*/ +/*-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +----------------------------------------------------------------------------*/ #ifndef APB_LCD_DRIVER_H #define APB_LCD_DRIVER_H diff --git a/doc/HowToImprove/how_to_improve.odt b/doc/HowToImprove/how_to_improve.odt new file mode 100644 index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..a466b75f4e0db7d6cd0408b9d59c9c84c75e9f05 GIT binary patch literal 311729 zc$~btWl)?!6E3=tK!QUEkf6aGg1fuBvk=^!#XV?ncXxM}CAcq6@Zj$5ck_L>PMve^ zsayBg-Kw{?cDAQyrhBIQd3s(&nRo9$0{|ZZ0Q|skKD_~EWJ&-4@b7^(0c`iQq?TkP+CbrHD&L(coivNqUj>QMG0dW|> zzlR>GOwq!~8RTf<#K`phfBLX+{r4v`7b_>5FbfmwcNPYFTeC1lc?lFmTtsL;6e&qj zWdPt^EC2wT@DUc;@(Gdl3;-h@DJ3eT>Xvc5`au_SulK__B|>0L&zcPT$DvOjPWh}F z8|Q(QO^qT}I?G*^jg>%`XPuLp#!<`0dCS#uhR+{pJb#5+!z|*}UFm%iR2TmEjrh5X z$dWO6FU!*rVLO=;!dIM_kf557ES@9b(7LjcaeR1)TdO&{U^C^#in|(Pv%k$MDIrl@ zz5H)Zoxj?j6UcHm0jaf{HWU8%TkXcT%UH zXUtg%=xw}{P{T`CB~a!*4psa|zBF5Hmi>oNba_c79-CuDSgplqA}kEJp@Nm2KdpBQ z7w^lp+Va1-%%-k9XnmC>0Fq+X8sqwhWCqMe#|+}wABuXtl3E*s$t)KjOOum=aPv!T z+PN`|=!k*BFhMjb8Y%)thsUED3`rVl=`|?rom*)<8ycsnQE3}?V~Vc~iD5@9)xrHN zA&{>Ix#o52YkxqahZkW;0DxfrFae~@rkfCpyQ)|IcS_69(j_xS^woY^DaO4Q%#EFa z5KQy}%>@n?mb-^XG!f6m`P5JDr6rHH3fmKL&BV{xS>Nf*wV#|z{z6BwoT<`n-8kft zaWGZ$Sm4MvIx{tWaQB3Jd|<7Pkdc&^mbr3tBEbGUDRT19f3KpaZtDEmjMXakyA52) zJrIGGY!S?yX0}9BG{X8k?Ybht|CF~%! zlR%AGt=ol50witz`ftDGZbzA^tx$6stZe(D5&cz9p5fti4^Ma4ova-jD7R$N6mOa! z>b0)OZ`wOUH_9%pJ`fk9@W8nH@%S$mQg8}0ca@?Hq;K9~GDeWd zRpn&&i0Isr@s#tT+hicVURRTz;nz31aIV^?qVLXA9T-K9cU>OF|k)-*_7bhpFaV`#x zR`lfQ;HU((MfA|_F^e-?{jk{HA>v&HmUeR+Zl9M7?eDj|$Bk6# za^bN0SY;_D`r4{hmg~B@ zEisJzVY6(A0Pl_^%iWhjP0i+b?T*CiP&CkFgYTe}ve@&>B-WXB7eGJzPhell{vRbH zaj~^u+gJB>-IfNXn_D~7c2*H)Z~(xhwc}tt%?!0BWW$1GxtP|1k6XNnJ?T-EwV@Hj zym88#grUPZ`&-HbC*H=$sBk?mzQ$qUd2_$d@@Ue+M{BPq&q;i-?d#-{D%I-9@83=} zAy=(iZ$UxJi(?;usGy*;t2!Wv=r`|9Bwqi%>NEx+5%!6h%^*8Vdb3GTVYA>K#WuTg zS<}gucH?q;Y**sNer!dtAcGzgCrsOim84bMxXKkfetezVro|PjJDKl_^ z#HE3cO7=J$SNBobwqNAaz?P|BDNmj^w!rwTzIIwMdO-Qj%nuZp8VrtXpE*M5Q4UlM zhHV>;VKW+x&elr*@@Re!Z6T)26}0B*)zZ)v|JXa?d#dV+sckay5MS)`wBRvQC+Ja)`l{-(J)LR9 zLgLOOoAZ~U!!c4#uJYn*3@%8u(|Kj1k&+vKqVfBq+O)ML_^^vC*9&+S7mZgLL))dQdTJWks^kT*xLSit z;Nf|Tcov2%N@0Q0nx8Os908ZERbydf6*3dHSO&-1Ddf)(@#5fWMWOX&rWKAU)oYph zbO2dObGGpY;WjbBm8DYp@lx9wMxa~$v?@_39-p7-rZrSN+1T_jU)T)Kd|MC!8a>Pl zepve{X9fgPr6K6kZO^HFCf5h6$Tj2IN+oVf&137o?GztHlJDPMWo7b)BJ$+5wO<6u z-W{OQr8PxHNB<5BOt{RM+Pz$oSZJ=9CBFN0^u8}tsxQNX-vD>%!~JscN?Ym{$Dmp} zFFA3@!D~ailuH07IEj+vF>=d@XQf?u;|uRUjMb6$gmA*D{MnKT<= zo{QCeBxazJRK!SGUCi7i(eT^*0Mw`^V{fJ7ou^|Aq!Y5pxh7Ns6A;s}$G|n*S{tj7 zm|m^q!su$jORch-#_2o%lFMwy`IjsELZH~sfK%x-|4~Vefzy)fvRGn|2ZvJpqtdPc zWaYKGh@{v?j19E~q|e7~Dh^@8jrga3E{yC%SN=M<`#`s%(Wa#1FK~5qhg^&%3>0vr zKReYV9DP3CA9Xlr!=8#6aQT=?!2QQ>o-<{t&v#8UWB{YknZSsCSlU3$LpN;g??q9Z z4ziKH{#Hs$!js4#_d(3A-I)%XlB{+{^+fqzSR-=d{v$gnpAni^vBsYiG4eT0luYA7 zInNxq77%Z)rYxo7T~)?_tw5}#XmXVLNrAHjaADS#c7!&F08S+syLY5Vyc?$h9KOW* zCaxOR^0=aLmhIV6_k6kP!RYI~hyu6XGTIuhUC!q=b)~?`?t7SHQ6ITq$zo2+>Rqj5 zyVRaQ+fQWD_wxN`7w(up}kf1=P|JFkRWFO|ITQ`kqRsu50b3 zX#WjM=WD5}cPHOA@0b6EL*vYhpM~1RIe~Pzh$DFut3#|c4$Za>aqUh1twE_i_NF!3vMHs-S`5%`5o!mVUT>e!lPkYPGEC=Q zv;-46(l|aUl8$$y!qoC>h9E{A{AG#sgP~ zo1eRwaKPqE6vq42s+Fow_H@Fn5aHlv00y(T{eUg%2bL2v129y2q}tWxE7s{~&pZZ8D2m39bJ4#cY?!D&s)|=k1SBI{Vs8#Bitn zALqsoXlk#q{#QUsftEJ{JpocZGP#C`lQg z1|4yUtk1zLgO{58jL7VY37>b)w3+a%j#P?Yube@)T}6OI-K}_KhM*vxrNzz6t&BWB_v~8nO*LFc;wqmxM1*)QYjHr< zL`AuO%Gm*BIyJVA8Pg+w={UUk5X+1ukAV`?JgPGe*bO2Wb+q;9{(cO_R*{)z#kHC| z{~@5>S)Xl9MlKgV{A~`uD|CMv8m;_)Hs*~MVkaeyr}FGs-mAeBuPv@6X~%nmzp+z9 z{i-yBWT?exE&FQGAGI|#*5@|PI!l`Dkee*oqL_dM%bn zVau~*>leEo{z;4n>*i!tw^gDa~VSXEAetVvHDIP+id1$=JK8F5M;ouLc9N3~1W zT4obp_PLf!FE=|98SpryjtaYUt(;7WB|g!BzkhgtKTI&Tq{IXBj~EP%SB$tKPIEsP zs*m>gs@gA=4$&U`+~bx}S1ruokG(wi;oJL>@6{CsrfuJ$gK-w2xd0r6K# zsqd~bK-$!u(FaaW6yGhsGzNVC|%@bJH3M7T3~ke7P7G@%2h$=RBkSXzLV zsE2-hN3Yq=4O=Gs>WOD{Sge4Xf3ZYl1C^O1b7Uz`Y`wtI_fcP;{8p`^sG+eiJn$)1 zjqv)3@4x)?pB^?Q)*K%~6BSmu;$+(Z!od9hkYLpoX$&g3uZK!K|Bl0?^-x7;P*D$C zj-c9qJEEjEHit63O_Tmtez72#pPYB|OIe+yPK@{SS)<Hv zbnS>n&=8bf1HJ=pUjFzon5>pB}78PCx#X7T6&aSb zu+Qj*jm~FN3mvJMb|f_Xk7E(hb~=p9uEw3k&zI8J?*RQv#&U_IbPOYKfE)@!chjAM zzA?=hby<2XcLGj=?U_!W_N+=dtLnSx2wb8XI$z*_KWidm(->U||uPblh zrGj-v$hQ#r@JQXcfd2-p$zb3Sl(rT&gw+1}qp>_6muT=*Y26Gar@f5@9eV={@E2p| zPsLm~?lfezj65PnOa}f9zm{ifr815@ianys77I{P#-qX4&7}hXM2i=vs&$9)Bs?Ga zcMS2Mpakxx;KD_@YFz{jntQ@m)&_n*nAt+QQ*!wrz#8amj0zHCcPgrDm!-|qQL$CuxcEniu*K@KQ_WB2M@*jzP@ zrkrXfB(ACEa=e%DviD0F>4D_1DJrTn-D2{~Lh=IVk`-;IfL~Y0HgvO0d^S~g5ZTEB z?SVu8a#U|5X{_?%yIzT&rU_7V;15}6&PeXul&HCuaZa1)DGk56_B7y|fiWjFyjzhW z#VZ+iWTqHBV9=RI>Xs4q^!->hmurQ#wjaNBSf-i=kEDBRa`k7-&F}0(W8H=U;E4;` z;bP1(Q#tnBMyhzH^TvLCQOA!nYrY9c<0yIS<*%y;#A z2eqeYr}IhCyb0Z3CYt#022-Y&6Db1%Fzvi=y+!#lZML?@N`~9BF?`rU>U(sO=ew+% zL(9YY_3a(jU$DztR-UMX?(D*gssv4gUQ4`BRr&i#T44d#gktW>G1t9`1KnkI>}IL) z*|pzDdA;8v;d>aDFSMUS<9mgXwQUqVi+>UP?dX{cr2AUAEVQX2sp$6dX^vuMBiBW?2cLI1 z+=ZNY!&!Q~VCuL?kcx)??tw$~QzNkE1;QbbqUiZ|Rp7!`h9B7u7$4U!DKY}7R`O5W z=sYYw)BngGYizBgc6_^+wG6ucX;)16X5S*zPUi7+cLLvK<@0FV|6OL-A6<0~wf*;g z%R3EJlPoxzI_zwj7&!H|@X_Gp%#LyMoBlbe1*d4;!vkmJNwYTYV=NVDLcWH7fJP*( zmaQ}G1Cpm5zIgr11Q$sLms?Gr=X^IN>ft5#QQv*CO!dXS`KRXfcix(tB#_6jvt(sj zOBR}uAoWLNVYBpzY!hKJv&ZDOZ!)Ry16!tCuJ%O&D!$vYW>W7)EIw=(kegT;?aOJ| zzVz#$-HYOT=H$3VrK5->TN+B_=T9 z4~r|VIND4+76z6+ANnxmSZp=Fz?dj@Sn4gyeDxCMtBrVgS^^zKRma1qfGXX!=F{R; zT{n&Uv$zn%HpSt-pJnfE(C!QWQVoy=ROEHJQ)Vy_>90HLc0T(R=#?YQi!^IQHCmQT z-%5WDk=hZ9Qu)k?*kpO^-m$-CP4f@ofsWLuWi|g)m(5X;{VnEy-je#SI z5G%~uS&}zI+OCwtsx{tOyGV3K@q@;&On!+b>l?n$p6rKri2*-Xh8r(0YxYn+7^3Z97wGooFloZXjQ zMm&a*;a2j4K@j1d(RB@`#wfRO0oE{;hh}13KQjZlvck{N2#2Q1ELz|~to*jDvbYzq zU4y}LX;Z|20NZR!Io}XXPI~L%lw@a0OnY{=7W&cc{pV$j-m%s3ugD_UB(&yLRZ(93 zDKOAws>Eo8Ta_EjV6|pvB4j5$`_DW1W$Gd3q_Rqhzv@`uXtf6!Qi5C&i3|?Dr=K)Pp#6Ew4Yx^}*rp ziG??+87xig)~UE(#hV5Kd6Dx+u(uLcl99W{pIz3@?Rdi$WTqQ5%JkSX*jAs0a#G+RgZVOPb8SN0QEA#NpUf(4Yw{{G`P!H$KnyrYzc z8)Z0q3EZ~y{l3Fb?22ZhPdyQv$+*qC-rqS|E3X^xOri7%11Z`@50Bx97uyMmTt6B| z9|5An^G9xJqjXzofBJV_R)brc$P+EjB#L(>H|La;MD~Mu7D8I?POz`(hf}FV&to4| z`K?wDHdiOGcU6Vk!dN8nlI48>U|$I+UA1oy&DW{VV^P$Pho4@?3jPwV^+`s2IVR7cc;6uop<7n94t3?9lS8Jkcrs7m-$KNIb6|n)Q?! z#ip4qeaWR0GV_U}04`9cytX}Nbj!ta#ZS4>;_H~M>BV@_CkzaY@B$q;583(?540b> ztMy8tz?6w~&?W&mWXnyge^7n=o{H(}xpLD)z6rU<5r0>Bg4_%!Rhniu!}>1iuh4a5 zo_Zs-mh6H_0MV7K=+c3X35%I_iHTE(Ca%JH=_pgtSB1LZQ?dNvmQX|BH8oK(V zWY)S?Fz_Ta5i~PbzigpMY-bo!;jFUEcI9kGX#K6gbZ;87mL)^n?eGxcp`U9;d-4D~KbvquwhQZG)lP}n4mlp#YiB2W@m5Ft=rDm!oaO7o zN<2G;@A{+j7Q-@QHiCKEFTWHGkEiKj$<@@+LG4>N2GvKclJGXRtvB4@2^U-JNdL4WpvKf$zI zMHa45G?I2A-|j-xV zL2>gvRs6Qgs5m0^@(=zpf9HHc7Z}oBj$9>?Tx2@?c|jW9Y!cDy9wLCeR9ewv&I{+hioy)y~n($Hbf`pts_sXfwPSPhX;*%`kai zZEkY#SS8dovTdmmECLyT)0wT4vWc$(CqfXyU+(G?ncVz%6Mfd^t-(b{tf19qm4!y# zX3E{+COjIg2#K{Ta(x0m=d+NAs-}a(J4Tk{ThzrHZNAQ=NAp`FcmOCE<{bb~Hg)_wuh{m|c%+18 zhezi=I44z4#0k?Z^BB7Jp{Razm$AAIV)b{^T9Nxya=DSlLI+4bBfn zvYw?px3?;x-+T2Vz)wDR4+|j3G(G{P%P(P<^=(c5vDJW_i+{9vRx+949j(G>WsE+I zAPbai0ZJILD26THEiA$U#=rkyE^n!_-qUbBb3ico%ILeioIdwJ0RUL$r*B{lV*wu9 zVdAwAnkkNdsCO6-J8oM2V{Zx|%8sV>_te;c$8i66El(H$v$L`XovR`r&+DxzaT?$d zlntu!abEt@FiXT-J|bFZuzoCw;SR1feGf|uxNbbX14F^7A2;6j)I?M6{ptiEK274g z(=MVawtYD-pQIN*u28@vS_!@$4_)*(B!DHXgAChdSxM#xq|o7&evwFf6MBH61P$bN z=~LSe7(qGbBq;8<@_D4Y=$X1px zww=ixvHj-nSZx(dE2HJ)daX*L+Oiw((N)534`je?FBPKaYZ=$52Ph*Ob*pkE!WrZk3Ltp zw(fJ|y$&1-+f@%nJ){0(?D>4O)e@C$D*dr)+NyPLncwLL7th|Cm#U{X33gXQ4wpkfOzA)tl)lB_B7WjrwLe((A{D zA(}B5SzT}9?>3T8+~|G!h7E|}E7?mrRr*ow_5PA}xPQN6h}Kr}b+%h<1JHUWTE

-;mUf)Kk7-s! zLRB4$Krdlc$UtUnOo zs~SXiFtg>p=~0p|H%tuTd96xhsMB9#c_3FfXx~d=a*#I>QC;NAucEIWpQ3{2AeXaj>YG>QX`!05K*SmviSQ1PBXCsu z``!D@YQW^^wwe0r%O;QRL7e}~h?Ga%x#Ysvrj@x@^N|~A&4bkl7^V`*t}{R573PGK6na^>{Es+YIUrO%qw z$VKG}$x#9OzsGw>7B_!@7m5xz7DSD{Mx?D&wd9aA2RvrSMiR>#yaSB?{0*I2@OB7; zfr(9__3+Yc6*U>NjjWCNcGtZDle~k?*17+$6^P!rjlRPFz{ItlaYA;w=;8fk^ArX~ z{3Sa2)8RCZxIEG0&$8oRej%y!yWhn9M$)FUk^Kq)q?JijX$eBZRZa-i7rbw_(nZd= zm0anKn$~pli-e-W4$I6oNBepTq>v zB_IbEO58>jA%5t?I5%QgV!E5(PKLH|)OgJ^XEb-?4C9DPCSEC{A30smmL0LItda}b zLv1$;NIfeGrLeGso$&gBmD&Th1A(6xKF@tD=~$`cdk44{SpCZD_XiPB7E6Sfikeh) zea(zPtoHC^YyXqtV6y)?K_u0ttH!K#>B(|urJzSgeRqQ_df}apmp;S)0E}wv%D2`w zP!xdHTuV0BKWivG?V5ZnkXk`2Q)y@m+y-@>y*GMEdNNLFY5yA6&bG+KmiL1%BNz_w zE^g%KhoxlEUvPf%{~(=FxtLJ{NpI=%5KsGShJ9+hiq>y^%A+N0mPR0p!rks~cwtKy z20jd)UW>rus;n6O3>899;rGgE`xAr@J1VA-dGWxG<>b@iIZ5n(HuC;Rt0TLtp^~|H zRbdXMbk_MHKY2|(!t&=cTPbwR9Gw~&tUUJMc@waK)M4r zGbMBSRUlSTJ1k&-fXxVj8=7+v5WBpJ>nS=gIhmOc;jzAnvEjH-%BUY6;LR=!Q{-F9 zIkOFBB8^^k`xiIw_^+aOE?vZ*qAvYsSU-hq&U5)$>eR&W|RS zA-Vz-sVFiD1hI((xh07M{`JoXv9%5xS}Pm;+^goq0KXz7n{8V8IaomdXVfoqF7|J) z->#nM!$PVSWaaKcWoF79syEvQYki0;RB*{~0K`o{U~)Rm!<*v&(1W zGZBJ$cB)l^5Ega$v6fI!p2azNYkMXNR{0N1^Ra^u`}EqKAJ@wHKUM+&1S-&pRH*;} zC)E7IQe=jl+?_Y!Gdgm#mihUwl<6Mp2{tpAb%oj$-4Fh=(a&vPeDL-Pt*sk>v5}GS zk{9HwgS68Ff2UkrP$SChr*yeHT%`Z9vhc66W4$SyEM=rtnKj<7kJi!UvG?$O4ktq4 z=+1yben+LL`t${AK{q%+P^y|{OxN)NPXs`Q2*(?fZ~8pvxF@#f(*f`}Hp=#0yQdQ5 zE|u+fhyef7a!_)}MB}NmyavF}zaqW9lcWPykTPB>%smn0%4kNeYumZheR^`E9{jGu zDb|3`sCT3@+~?&WI*|Ger$C@P8Xh2sQ^Kp0WQ|Ft0fj0CriEX%crOx8QcEt|TQlGf zVgP(H@i_<+w0h@XEP|-BLZb$qJQ?`a80SrhYhVG+sUr*2-3U5>cQHL<(j2Thw;T5+ z(Pi{6=pqY$WSg%Hq0ld7M8yOOdVcurubGqs0055!%YSf1aO>X{gCDmtamS`AJpd*6 z-vxuETo7i{WesTuf3Rf38xVL9!=6gJ+|2Wk)ym3NKd8#kP8??%z1U= zx?{+qilKvRXAm}p+gFCr!XpFBgMHGq@HY8VS|5!;60Q$k_AU$fPLXNhGMZWT*LRsA z4C2L!_8)VPwA$|9qY|-zPFHFf9_v1gK%POrRd^hC)N(i;itF`}XO1qT`giv9(k|o+ z{Lsq_J)^sJ$5mgL0Ol>c!l)RIK{4fl3DOIF7@sDUq%erz(CZ>&BoE}+iH#5zr9+qV zttw3S4|f`&>zS;+SsojitS36&&?YIk)Rj|rVY(swVZLWbol2FsW13fpeN}jQYMt3` zY!?f8dOPu0$-~1)OTU2xC+dsODjLkqy4O&qtLvmVFdiOvmQbC>V4U6876h4dW1s3( zEj_a@|2jmfL&PijX;_jkjnv0BZ1(B>bbsFYc}H?55JUTkOK3M4-1($I-)P)sz1W1J z?k4OxAtbz}nD^xdsWCWay>K)*P!m&Ga7aoxOT<(S4r)kb{1^6mX1?s@*E^@U!ZxcT ziY^b}-1_&3B3PP5uZlw z{dd4v{HvnnPI4*b_Pc`~1dF!BTqz@l>zFDEjXrh1SK%2JtJND&{5-HY`t5Z;e8<;z z+5J#mzEN_J`@9y1ql)G9KO)?=$wO) z542fk^y15Nw>CeSOQxs*_gfNvU;1;l+@UlgF(bln*|za;JIsgF_UY+;;zQgiE-6oa zl?yS)%B%O4KZ)?2PP0W-rZj|w<4RbRoLDbDz6g=~ z#zns~-;t0@@L}v&x%`Re#%h3idBEOt*Ng~w2iJCkh~t3{05+wr>znbEN zNecWU{iFT!zEGV%bHK5k%j^27`WATf?pUj<*kRFY=d$vMK3(6ir|!8%-wxOjlML)B zewC~NTK^Q_zK z^93#+@&{+(xr$*5OD64>K?mjL>V&Mh1z*U<7MYLZHy)8&2PsWL9PkTV+gxlIA&~bb z%9CUFC^7jH(JdBY8H*F6&3LDWZC_Egggg7|MCb@4!{aXVdO&&3gb7R_2l|1aR#BO_ z6pDn$xW~F@HSsfGj9F=cP-Ld)@Ge7K>MfJv#Qr5R#+)WzMxk4q3TUo?LL}^)Z`@29 zN9*^9_a#*=g>b<0_RfhBUU5T37Y}T6QP%lTd?#9ol4`zkNw1QUZTmP@&7+SH_j${d zKo@)+KJ-F#l67^a4PnSA?Qu?Nx)d9RJwx3atDPljm!j2EeZi*-zG*3j1+RWeei)s0 zS8~cq;j-PH6tw$5YSZ5un|%e502`xkcJ20xP0(bSkWzK{P-mn6A8rw>$B`>7B^k|U z+Z2uhMRkdAE(XDu0}||8re-y~gjcQ`yL!Bo2h(I|%C9nnCrl9@aNp@n<+P7}V__If zUDWv6NuACTd~Ne;s=a0e$U#<1E);}BHh$kkVyG+(nhhXZ^#<4dd3Pa2E*j(Lbi`g< zdB(Lr9ooXZS4}VHKR0W8ExJ_}noz(izl=?-p@UbL>{Q~5vVz~Kdu`6PbI|NTEEY=m z-0nP|#0p1_T=eF+JM*_np>Zl~dD?d|&<#WRPs1geFh9jM^9@XGvFiu97+o0F?(U(A zzWZ7Z4fr7s84LI>1^%5jSDk^*d*N5nEp>l+-;pEQcX;6koDd+`9IPfoEIPC0U&NJ6nCbu?pL&eADJkj-1_rNT-Ks^1Vs4 zw~wH>uG33m&xv~z= zfC^aueoXV-BF9GFVV$*Y^jq#UJLt{vb$IY@`a>yC{+0J#rK;9LvB^ucyog+zU%>6epiLOpk#To_1%RYJesF> z3Tp0aa66(j=Ba9^SLM^G3|Fw${?hsce_G10S5xwb)ts+96B%ZoUp>_ptgN0SB+WJhiwD23 zHm6RoI~|NDnG3x-NpqyPJV3%|)RzhBLi!WsZOPNy=0alLO>=#y`V>dexfloEK{uz6 zYzpd^(gqwF{V-N9%7v-#=ZIkq-j-7*Gv^VPUmwYsy9}46Z=z3+NlWXogsDAUcBix8 zo`+#kR}@ffw{o&2d^otW*}Lj(_VRMsjvB1c9jrvD4$zyfLRPi{ObD zep&a<(>Ky*_+KwW9Ed4E1vVwi_u4;LQ3x@@+m-z_6{oIWe8wMYsv*sczv(NJo|k5^ zS%+-XQQ0jY$A6?e)mRi9ZlESsRiZcvs3Z-b3K+<|fhdjb!xPn~q1*6N+#iN|;j``) zSis8^^gsp9XEqBuP@X*jrQud3IP4LDw-?PF*AD`wgW2*`sWv9F&-W~U z-uFG|I|_d+CH`|c;>a(zQ9EwcYXTH8w9PHps=AkUTU+`~gU02jH@8}{V9|#aUO=p} z;#`N-x$~i@32ZttKOfvgn7=?;UUJ;?WaZmI?`sX{^}3W;2XC)#5lz5Ly|EP&nuI6L z3N<7!@a`Y1`U{UxLcsz6^j(m--0|?2G?(G!_d|p-Br>DhKk9NSW0U*Z&cjcQHT|Bo zp(<*{OH$*jxi)NlBGJYR8cDOiLY0iJ`q*lh1-R6t#&&(~m1Bfl*KUtgAbpPHNOKlb z%}Xn;0>|1LPPX!HJLccgnrb6a!;*P#nvSwwEE7vJgy6jRWQwjm9fDcgd@;S zm+1tlnpj!wDMj>dXqXo5Y*SGwCh4#HU*5 z6jm&TfH`wS>Tu{UMmVmDiae0Uqm+Y^O5MtgS5Hh zdTIZjx6feGVqf+npER9q_LLoWcnm8FTot*XJ9tmq5fRW357e*e<4t#}JmH;YMV93g zK0E^{)2dKtiD~$oEC(dQQupkz7@g|o8cIpTP{g#jc6gb9>+|DNk~4%rmb4_>Eu$?p zq@~X9jmLvpOcUG6?qz=^r#p)W-Enz46m)g4eKWV1`zJ!HOt@w(IWDwmnz$IUVJy%7AST;dU!J2y<5xLL5y(;UeA4vV7;mQWDzKr$l%tv?x&xa ztTTo&l~RNnWy+1qW14qH&653J!He{4D5J)S3rx0 zK{LUcY^Wnx>f|R+_dJJkQKtgE)zq1er>AQj9N9I+w%SCNE1h4u$2;tQN)y2oV*<4^ zd&M}AvX13H&CI-pQ)kYY8Gf{tu4gRPsu6uzpnx+`T1b3mm%63CT&o3#(#MTG>`%&dO{auZ z53{FS?2Ne}bf&InoboY;hSoSz4Tx~G#hKXSM zSF1uR0p2C+rs{f2)z#i^U80gNO4Y-0UQ($~3+3Su8Tr*84^=O=Ghd9bxXJd_qr}=Z zARYMlyprv#52iFXA;Y$>o)8Zs`T5E(Q^*+;QGukU3Zo+nC$Y{uv_?-&Om5&#w zBadQW;!AqyjU2R$EUL&&?ah=2!j-nOi#2<@b`XJ&eh!E&gVH>q8mt|KLZN2b13W0j@w(hbRuf0;? z9OjSiR)hOG0?*Fd+HRGagO)?b{nZ_9R4pa~=&Rq&%zLrn{NCPS zr^zflV->3KS&b&1I~)S|EuVc)?K7Mci?;%bgKOF+DR4c+1dBs^%k zF_M6OC73&8?AEHSo3_{Tb%h>&!SyX6K@d&@d)f0egiR~bk#1T- zO4fCgwhKlgr&s63j1wJd55yQGl@1+2@zDFBVI6r= z-3`8d7pkER{ofm~ zf@s~;T7XW(e{aPKYGqE434WIZQ(xGu3nKP57aqdAmHN6H25E)~qcUy6#4Uk~%wQk!;l z%emk+u}_7z_Nv@$eQLD8-cx|M^rRxJ|!C5aq&=x)sC+=C+)rFVd z0YrFkWUwO$+L zeZ9+@SPTEPiTd>-ibzH~w8&`Vbf;|GuD-IQ>sxt^KQ~n{cUeRC(A=O>w7h6Q@W`|0 z0mcXLuluvzBJeB6Le+YgEj;i4Udu|EtJuRQD~Ly$l}7leB{Fn2Z6YFEfk6Fjn~WGj zTz@MAjcL)c;Bi7($X?UiONMdj=~7!*wH^*9!-LDZ)5MbZY84^n(2Hw4Sp!`6jDQ zm9z@{1UaGw|7v@@X~M(o!Z#|wCPIQo&esaRgB%`-3sPnvxQE3!1it_DNmw#5!?3-; ze@-#Yp;(!Sqg0pDc47A(t0OeBdTFF8|*EQjlqo88-32k=NQGMjHZI-;m-mnV9A znZg-;TWPwQt<12DjRO5OVzl+LNn$B)t*$xjISjKKtTlUUadJGqTPJ8q)1selyvXiw zJ1JNAkoJ9PuIZ&`fi!I}cwW!jqUTYkVizVq@}+AWuh5H?Z#%yTFUu}_r9OxDK}j){ z@t}&Sj^)S_$FcWhor8#q#2E}q_tnyr1p5JoS1eL6uG!g?+U*^i{ZU20K$ zc#YRc_S7kT!{GW2I9y(0(36LWt?P5(jIfdA-R^Gah(XDB2`5j_|pKd7rFW0&6Nxio98TW1|t*b4xay(&z{f{wfTWblDqahs=(3-%D6_+wKRR9A^`xtY;ehDQACJNGU|;zBbF0 zyy>*)SgxTX3v-q(Ye+-)DtPbQ;gbMYOeXPD3mIK>`1&jC>fw+mTA@N`{Ki`3gU3!` zUx;^5z~R`6jE+-v7GCYao>EpMm?iI$rFhMova?ndq%~$Ip+cZ3jAdn2$iCS#Fv>Ol zkam^xtzNcKLCW&}|5UN^dqu+;f!*hOM_P|K)9VI#^*QYa(0Ki_+G?iPYvg#l&MIjn z#*EbIGC{nWk;{Gn?a>Ow8Lm|PJWBS#Xno6Hb$SIJEe5furJ&BJcTs8mUERpwO42sw zu;>pj%l_G4LwKk9w^?VC*;k93(Q*Ql<^Lk?EyL?*g7nZM*^(`0W@cu#n3 zVLuUCV0q57vA^ldXbpnhATS5k>&3V%h(3@ueL;`_oii7W*vTk0+dWH-*dre1Zr|PX#Z`%_sV;v_;rc4Hm$GcwDb?4m(v7FFnitPyNjFk;zl}epWaG707_$UvPH7 zIT$Op3)AS;#sF+?ibz>;UiD4EVjJC&OiUBxdnTF|e{9ZtOzPQ9-a88s+X*h(u7f4$ z&7&oyRhUI6VcPF`kqrapgi^IR4|5^wR7hB#pv%5^Z!j6c80qeYmJHQ2@w~=N{r9{S zL((@?r6PX|T9{&@uT5m>BI}q0l3yXP=zU^XaNePy?f)_-4wL^r|D>y#Qv-EY`EpVJTnz$! zHGt>!7UL2b34uxcGMDBaN28+fWkR9(JnMaFAA-!`0QM0+5d$d;D~jTXNa1obvYNj! znnYU9FiXOQR|qGz>OyI@qJ^?6J4JdQl3AA$;JOpHwAbXR2!&y$l2rt+ybcsg7_i=T zCHlGN;wcSHkLUz0Z>@G{4rUsKQIVTt|HC@%DTMy-vrd|_I2E%#UdDSsjN3oB{5<4L zFsLz9z6SkeH2J#iid;0c=8rf!uOr=<0#YxnsjF58k0y`POOAH@bwT@V?bWk|qDDi- zGLoY9u3*^SF75_B0_{oJE4SKOYVql+bQp)GtJAQA6|#>fxq>9!uCq^;g7a;Y@+2i* za)jdei_IsP({I6;AvN}cZU)Wm<*B*R8pAsaSY{f{;gR90G-h{yrfcv_d)Q+ocZ&Up z#P2e2_sq}FdF`y#pPeFxkhzGO6L#br9y_%Tff^_Ijd_qQ@JK0*739Zi`4V+Ey-(Jze}Y+QqKH#+RobUfA48Lf<*lANS-M02wCM&9-VVU;+K z8*}#h{XJ%0gc6+qxik&cTZiFa}C+E9BpVgb}umvn8+ucN%9h${w;^!@Y zS>NUxS+DTOT^6r6NCdGEIDF+0>DTmsroA=XvceZ4u{yoym|Q){>ESYj55pGa_|>dRRvHPwNI#m#%SrkLU% zWA)kI+DzM0`f4Zsj}t}|8}40e^X$Nj&(wCx-7YOP#b7p@aF(dA^A(6Rfe)Izy6e+D zZpwJrYqgv@kuu$cI$jGe!(W_Y;+g_$P!O$ zMX=3q0DAM(tjswyVm}~d zRHiJ6F^NzjN2J#E!I7k6N7{nENj~Fw8O;(^$HT_&{CkJMt-Mlh95ikg|CL{?6Z3|= z%de}&4v9A1d3mPGv@uGSW_wKD%W1|`yWmMIJU#~eg6Y?fqRU^@F>q!S-+XibeEn4t z7ME8Lu&>bwi^aP$up>#@d{`_Ph*A__vjepG;<6SAQ60Q9(+Uf@{}?v!IJj|Hu|K5m zmVCz%!=LVGZ72%WkUdHOd`YSJ(OZ?m=Mvg^v%*J0BG(z;bDP0sA})9NWV<8w_$!%n zWTj(prU0X8%<-apj`>ZS+`M`+8UC>0P+K4Z(OzS5hBG{B#2?y6Xymg~mQmmbpDg*8 z8A>hqKf?@_@BgxD!UX2`l^mf>+jy(|=MqAWI*`n%j5rk|cZ98=QQm3lAX#w160pxN zr#dO7Rdwazw4uFpk}_}|v^d-XYTk+?48@;)73h@!-`x7k{teP+qI0_qpq=HJNfko{ zZS)`Dc>wr7)WHDAU8$jQ6WePB+u`CTU^mfcbY6Tl?Xe1rGNBzW-c6FSUPFsyTwv1g zHUO0O=@;Rj1|V5Oalz%E1;O;P1WZn#+`D)=pVxfnDF6URVF&aR$0C3M6*TkTsAh{V zb0eT;pZ;<@I2+5M#o?Vy$~pc>`zZ*aA* zH{AQ@!~X_gXF+_oK{aFj8*>fwf4`X`f`nB9ku;+pI4T}ts(auvL0 zHXgsp-|QifoLUI~?e2R}c^1fDNtp58OSrs^t$O`tak}vW@Ui_M{`^({tXzuUsCfOG zogji2b*0AlX?g2R4ePqGT>B=CNdM@U)zoib zMi3x~_da}{*W0_>yUW|84?Ek-4*vv|6A9c^qjX|?@wNK-H>f-enLZg1rTe!fz6g12 zdpLk^;D4J45J5#>g4{;PH(U z25f`<^PQ>otfpdbcyI_#z50AfA@l6*epxY``K$Ct4Zq>{ zO-MmLSEE4JWiXZI4ETj#$(FY~?-Y~lvCU6{XG?$7fKEy8L=`37hK}W1%ueGmba|QW zz~@iL!7Pi_tnnd=KFsJDq>)@@FOV{2So`?9ne6ce)dQ1iG8L?2>PHRqwap>r<1>%} z5@!*ega@vVTv>5(e3jduz4W9HN>YlNxI2P+kfQh9O#(`cidhgp%KU^epo*esOJnoR z;}{*n&fgCBwNu%EyP|AnJ^EFr=}`km;Fjx^^Pmtc_aj9kzzw__r9WbI)G0rU$r<|7 zPJ57ei4L0v+$8x&19JGSz%vwt#2k~nsd6*MnbRmKP?b{l6oh2 zl+gb4m-Dg%6ZPL$D|CwMJqZh1qo}L@2=&+7f3Kt_>u#@;P~Obdn6@FBN}%ZKpJCbf zYCwf<-!@hzfEyV3CMvJs|NHNVGsA0kjvqRipwtYh3;6FhYy7j&et-Sw^X&Hz%D9I&CpZL6bSw%NhAXAR-w;wPgQ@2AE2oFdeF#a?&MVg;D5Lo z0a-KJm`U*0TC|#zZv2=-zSuE&3j5YzKPwZHmDOU=qkl&2#$oJ634M%FbomoMXc5aI zD86vpP}!fsz(hr%{@*UyFy*Nht%Ft&ACxN;i{S7IQH9r%|Erj{)=2h#ZqOXRK zxJ)99Qb4TBXUj8pRW|!?b_F-Ecd=74y7IHOckj@s_7!g{a0-{T_lk>XX}F=Hd)t8} zPws!`94~&oU+08_35ogW{bjF`Z~(a7;jE3`Fa}?5uVktA-&P{McP{=u2hadHpkNli z_VdS&myquRVMc$B{Bfi3zPCV=|C6vG3N{G<1&#eLHz^ecRE~9i-v6vBfcYV5Jt4a= z>OR%KJZF4gj(IkXMk+e52g;$asH2aklNI6?E3$)sdpi3wPDK`+5etyDuxAX$RU;zN z(Ry_4dwrpkrluN(_xksg@!MP{B>bw6F=PZp^np&2lqTQQea}eeL7oxHY@huig9-I_ z<^`313kl%wMXJWd>yQhZeo1UD=@d8om~R+_7ytkhgzxJSDe!Wk5LNr(kVD>lcZ_!^ zgXEM$CrX`0fpzl6W6n4hPqE4R+cq27Yqa~e7XLBO1on?oSZ%@MQftefd8y(R%7wc# zX${rkF3EZuwsysbqo=sFKa`TG@HtcIi88Oov7`yn>p=3{yc6p|#!p&|nuFlZ9l8!R z+4Y)*RiwAaYvE#EvskRx?R>C*2Zx*<1a-ed=LQIAFDpzPS%DNn$BZ1GzU0gm$#nMJ zS7NA~!)cGN6?lbPSl1q;tz`Ei*E6!j%7=%?Cf^1e9b4Sg4%Ou@vT2*2PfA)1GgTk7 zwDm3Geb4}fqu_9hR5)y6{rFA*=)2~SZ5u4hDck2PiLdS`6}T;5W+ybe#Pf_rXEL2c z2G>F5=5p^~sZvPylfEOu;_5#%#{al#(;lqTu27R6d(?0PK@Lv+=VIPB_1fF z94_YF)gHydH!|kwIn!Pk4g*2@$W{bkEPE2yL>Tr4Yo2Kt<~)3(w(jm|jlBs^lnx6G zN5=0SlQ7?QD}lHQ-8$-tR|;1hBL=04u;Fu0mVUG`K)0|~qhuQoT4boD#CW;;Is03p zEYZMxt?>5B64K)QZTea{uyexbV`Dm>eUSnk-+*kMD9saP#1^(JFUqpb_a|I%EpAT} z6`D(VOpq^jKYV<{#t|;iNTSM-!WGCF6o>%GbFD_UCnPI*524v(1q(JKn}yID|IP36 z^uCna-A-1;qs7Q${=6t4lPf?ZClQ9DZw%V*O6Ds6ol@W7HTm(bDS?oo87x<1RESQ( zew%yJG5IB&Ax7NHC8Z@qN&&jG#igo0!afA*R|s~^-Dqpk4FN9r*v$n60LcBgP!_B zvC23)G=J#M)|^DcPdY}ln%yaTWl=~fNxf)v^0RM=KV4TTP)=rkgkc39!EdguxjVRp zEvRumo$={xhgud7qi-3`C*mrD!33ZR2)h=u+Ro9&1JFn?A&3~J3#Aop`S<}No;5TN zy}8e#ZX-}m`pq93?zf8HMxa@V?k>;?GfH*K{V}CrZ`1^`_utbBH6$0@N490BPLJEi zgo9$g-zv41|s64M*lSAoI1V;wES#}Wh=R&?0IVl8#Ly%x0rd*JmfNk zgqQmtf8z>#$b;|W9#;O9Q%kf}Cjtgv9y`(PwS5?PbTh7%(1@eHIs0OvMCw6Oa8qw? z1e0#u(w2C$%z6`SJ2n@==|jY9_ky;itT+0%V_qHcG!YydBV4--NWCAEc8;`O=WpgF zTF#mtkDM4K|0WwU(y=w5@`Ig=woo%t5t>bHaoaf{>3DV|G~e|}kIyds$oUf`xgtx7 z4}w!cG-m1`z55L^RsRK zYM{LAK3LVDa-xy%2OpX20Kcm~P3isc`S#b&^F8*PG6sS87cJwol1U3?a3lsuM(M|u z7o53x8LMIcI*~N=YG^5mbqM~$hB=>?6Gx**FvwsBu~zDf%n``@?hCIe%HCi0?Oh{w z(QT6=lB6G`;sXa+-yzWaj6u$}LDT1VTV3y)(|dPFt#FpXTn!IKXzkr>7|I_B$2@fg zWfl}UDpYeAuVGQqs%Y9Yd$Nw3CGnAe1BV!reQVbDA<@Z^@wqzZpIVjqL0}a41^2b- zWngERSG|SJmp{fG3k2{D^|!i?Xqi6l7F2wBR`tya$9$Uy2)Ur{A}EvA@q%CO+anxQVasRN`{(zLEqh7qQ2RC4W+9fxv=xjLzUfh`2c;IorYqkER%2c@In`LfooBYH#TalL_M@ zEkfRLQ_Z~{xkz`>e|OfOSSyOz3^dmJvC{N;rg`%9n=t`{PTvX;__ zXwWeIluOdVK9ZSb=kdAYz`6|tAWHvxMHzx{1rya>Pu-a6*?lQCK}g1mtEe?(At4n7 zwUO#V(Eynz%aa3iND;@=pFUe3jFH)^m&aQl?_fx44&nz?rmoMllo*&rWc`&_^@pQIpoX3bKj>U+f>z4pK-nYx0?y; zDaS0JX_Ox2;@c`;wO^#ramYH4Iu9;m->4Bo+VH1iFgQA7?yXHIOuF=n#r3=;b6MTD zuSbR*iJw)5zrRikJ2*~@wWch`Y;&30(Ye6Y)JNVusbCWz#%V)zpbvGSQAl5_?G7P# z4AMXDCzuV5NpeqMsxf>*f>YH{-v)+#nY4A{N(&iE)%Zj;r8lYdNyAP?$fynw3@K27 z?W9v@$9aK>IJ1+vFo&te0!=1uT4OM&0Lg^`A>(qpTvZ6t;btf>92{C!ajGI0_xzIZ zZVIRXQ_W5OAlKA{W^h+TKazq1M3|G>oaSTKh8L6UDYr3RtDE)KOv`_u1j=9Y{8YI$ ztou_?HvU%j%vnEiulpt9)wBfl^DMuRdloTeqLt2u)r}&Bcfa@`QS&6aGOIvo6h`*MmDBK)BQV&0b9a<9 z!7)BKSkhkw=$3y8~m92f4HE|wDVn;#~6H^gu#*xpG>Lqyt$MU3a9l*4JWD`)x( zW$3J>KVE;vHRPxcWTGr+Ue_JN+(g2isVgp!M4VZ~);aeua_U2&JWO@JM+8Gnn07MR z*~<(a*$xerN`=Y3;=a~wmTCIsCNAA&{Tl|L7sPLcv%1X+w1+axSkH{boZ4HWk~|Zg zDKItVPR0>I?lmSZ{)iOHc9hmkmLyeWlv1~m(n|rSDPw+3?Iy1_bK<;-}X;2W&AU3|jxW&7fh4v#9NI$4v94IR9N1fVDOE>-WlRF{^JL18&O8k#Aw zx~RGx_#7p~OxsGWW3v|)^cgY%6Eg!eV{pmS3h=?;yKtTj z-!OJq*5}ybW*`w1`)O@rwDR=}XEnmhjK_Y%m(oR2cU0#Yr|#z-?{__L;RC)ozwhZ4 z?=9WTeEC$Z0M;_oxg+V*nB$GgCH@QkLY+kyrIjcfHAPSag{VZm~Yq${;AQz!r> zfmJNQ4$?;SMB04bdt#{q7+ZP+ZK;UaZG8|>bfZV5u!Pew26sJ#R%~U33()iTRcwWo zv`nU-dOr>t;Q85MR{;KFNrcwDj6k`~|1d~4g^YGG?QOLo+MH-5#+zlE9bx_8n( z%T6n4r64A_ldw~iLS)BmQ$_Ne6x_Jubt7YR^Q#M~T8=2Pj-`^GTI{Iu7~cWihrY;fM< zYYVfEhJ~WL2@La973>pbfeIpjLeezvo;Z?Fa?NXdM;uYniYe+k6ko&xuA_!Uo`vCG z*(-+4YG@&XUER7RtGWxMbr0MJ}6>6OB8uqy~ zssN{?0IhX>?(G#n2q4T6UNaEsVWcd`0O9^-bkEXxxgAJ_e_8axPGxQ3VC*b$7~0Fg zRY+%N!{-~3$FXzLGz@3M{kl2w*0NzyBGF4;0L9j^H?i|wIcD)b+yjkI3n}G#WEQ>w z$u`nYb_}G~RCn#}+{ipI|9HHunnw7ldX77g8i+{5(**W_z_%yrsAjX#`n#@c^asN- zcAs2`V$;2fvIzKEI5ORgM$_;>06IjL-fpeE-6dMKP|=RD>B>m&TcNrvKA+e8c;v0V zMTSkr@Si84L~^bTB_Thf>X%~%q-5l0`CN-9yz^0Y+=L(>*uYo>%MP*wq z^)RSg^;(m#T!ao=ZxrDld%VjxSbnA*_>QS2@9}pLDRgw^^j2RntfQj zXN))g4lIgTBO5}glHP=>{B%C9lPDZs^{89%7GY-5hW^@7lczY`n1H}51-Lz(xjuAS z+M|djN4GY=kSz_qzB+5P@~<$59#6zY1*|^;tw38UzRfbH)WN(R*^m(OirZGq%{J+j zCpKYtoI&&m2mC4_22w7%gORy8c|XbMNhGL*-fGYsRueXmlSZId=(X(PxPW_#eg=r4 z4F4cGd&e)JfIJB`yVvFVsieBlj34cYFk8y`ypdrEj({m_6LT1|y}~L=hLW!YFmK^k z;p^oP0DLca%^gfndH`S%;bNgD{-THE;skA~(3QpxYnU3n|d)t(Cg zFoQBEefArM^gZ6_E`QuOYQ!yN@bvNE8W(A%GmvsC`+2uLe0@DQNtxlALn{nJMU?nZ z0R<|>0)G1fYB+k&z}mj&4giePy?+(iNCof>E2-w^P>P^-WH%VVS07+d%Kf+U>}K30 zY|iQMzsURRKmLd?_7y2#Fzxahh<_66iWHrWu>*`Cja2YGgkY5W?)Zi_@r4X&pCZd? ztc$QdH&4Vp?mL>Hz!8MROO7+*uhJVgB0<+3D}~^52V&UCo9+6 zT><}8pdyi=4Uv2}TDk&=`vvUdao(?^K>l1#b=b`71}7Fxt#NyfD-}017=FN8j`9^o zpzQ1^j9#n#qrrQlw0+G#Z-W}m{^%Ov-hZ1tV0=1hkQto|_{`vU?$FNJd;*O{XZ{(? zt3}=A+ZfIVun+qhrT*i%lX-YFFB!&(Y8zCf&ckK3S%N^I^;uD$%^@HQ!h%;)&?{iw z`yD=~WR)u4zBm3`gno#7?D2IFBHP7jTPlZNwhcT0&`*;j_^o%q#l%`-`2ctbIb?Tt zg4aik%jLQ~_OwtZkXNLCQ0=&v5no?!2>LK1o*0SK;mUTZZp=i;Af;_etA2O-r&`Ls zB_864sp8d>_*!Ne7()6?DBRWUylm7FZ~0=Sy!cYJHnoVE!z%TLpYkUAQcJhn=r!Tq z`N%gX0D8T&b}c9fM@woYJ=MTlX}!^k$!&bKDWQXl}-I5e@O;RaxQpn3au6FqSYl zA3SK&%1OO(F0Np)-_DAg>l=z;A=FVP6=pS>j@LzSga^R>4MYs1)Ut%AgeLm@e14nm z=O@8SDDQ+#Q$Txno?akh{Ztfuzq0`+gDWA?Z!Q8Yz2`ds;w-+zJ4~`!buzpTG-TdmCFzhRj<7&~B@tz&YmCeZs2`#x$1y9y5IHRAMARC|cTi7^=>0Chs z(8oA3nNy+LU#6>To`+U?x84ONi69EDO{Zz;s>R{B@pZWUDo4CVURv5Wz6zzCBlXu*0Ajp)Oy(bH2HGOR*Jx|>GS{!blZZSyArn;L?7LZbL!6oXAnHqZowI)x?wS?hCOG25)Ia8NRLvdQWP^bzo zM_P|f-(M#xt*v>siEF`?)@{_=abzZ1V#8rD8rKVf1?5}?Rgb$j)|#0>lElN3%}AX= z`huI>Hx)G;Ze1vARp-^Z*0A|CI_p^CzDEtWUCcbEk5RYaUO8Yw zv_VMITW2$P_IC(Il56ASAYrC4ca(SO(uR`O>NKWWGI;DR=6Hw$Az>+)m=e7GPSI6K z_|(DuDpko4AFz_8$^u;`Wz?uAFCUq;qnWoExEH_!b3cNGWFVtuYkgj5g}uYJ937e38~0088D0*B}?(2rI}aN0esRB2+;w9f{evYFMg6IXgV-&+d_ zB5!snCpOp=vlz9t&3Nj2Omz`JVvEeolb}XoRgPmO?5?tBcI9Xz7MXEK$-BGMac^TC z7e(F)z8Xeg5Cd?ng${>6TSekxnaU!?tFy_h$qXa)j8+t*?o&#>ks1GY*u7=(v*Z{F zZupGf?13SJv8AM}rO+@|6z)olx~RJQqjM75H#Il9HaUf9+^2(w7^G1#Xq@NF+{aRCP#v3GBacZ(S>s^g}gjJ`qX5OH^M@SX*rFi;WN*RDx_JoV~S>X z&;8bjO1UcJ59eW7d0UOfKTAf z8iC7I{bd$9w#|%ONBvf#5%~7LJ7;7OVKy)kdhf|K(5xTHv4E61ayMbeL`zzlcYz?qO5 zj*f3^u)<|R|8i)H(ly3nYI)fy*aCgZXce@s-%$HD&)K8lrkLR-Cg;KE2l<;uC6ZUQ8gm{`XP8Zdt#S^e5xEIbYp1ITb1)DT0(knvDg*WJaCyb0T%XB#PDg=_Txh2@ye?+J6gy6H;NW1a>wz?FdB?|f zdodd$Te5^*kdj!$L+oG;xwi$(Rvr7+g_DKx2G$Zk8);VH>%7kh>qa)byt8z7wHD^N z0f7Ddihx=HD;$9?LZCBPt_4<6PM-S-1=Z@+%yAQ4Sf0hkM&#Ruu+B^@Q>OQMSMGgg zWWn&-j=)*h;_vT*On7a2Xs=`zl(frc{lm?GO|h;nIASm8l2Th>f)k zTwz4!vc(ovUcyEMV<474v&bGj&U=-M&CPuaVm~h>cruS2mq*ZH0|1&ps+DJdi*HxErzaBwhlJ}Nux=AsReDb=($NAaoH^MgyA6*eg^sSZ^j-ViG z+CEsb&u3>qb==7$M{F~ydXkEwX{b=0f=FL@wEXD>dpKl*S!2tDGc%kihO7*xXWeqK)2a_b10&DoB2m8EP{Do>8bm3sc55FVpNF6MkyhEC z#XL_9^dTr+Ci=v0n%rd)?rN$P7QXp(RIs3);zBuw; z!bd_y;c%5Ez@9OHxb~DsNXj5QOI+&f!^Aoy(HKX9vomH2G~%A{MEV*rkcGkwV{*~d z`~NN{uag;X=RNkoNt&Lx=B+dYfBS>yMV z*tiL6Dm9~D3na-9MHxMVp!+NnA3_!O4Do*%@kv)%q!@+Sks6dRzNLNh)2G@ z-j~+_N!88e#FKI+%HlyH!m{Cl7=y;z+s`%zCSfHPvONqnLv{@--C)&CNJQN2p)QHM zMQ>$9BO0T7lbvCfSJ=_p= z3#9PAhQPagYPj`)3}KS-MxDDTtj_6<<0q-Y?0 zu#mRrV?_i2z%>i2Q3Ad|2XADkBNQ;Z54>;VT3l|2kyZ0~``82m$Sk=GiY77{4*{8- ze4^Ht9_2nA$0|}<_9D+Og@mmU%}p(D&nT8?k#i&+w~HFlj$XH5bGYn|yo49Gcrjn~ ztr5=)h(3Y131YOFG_RGnhHYQwcse6ZbOcS@IGvbs(x@5Q@}H@t=2>=Z(C-~bip$27 z9_hS{;N>n_tJg>~Jr6IGJ!29GuemNhtumEVS|6yI2%}FK+*G!|_tEQ1R!zj3I9Nze zBTZs$S48(}lcJm&%{StZ`ky^mTtw(Ckg0 z;O!w{NoPCzG#Z+UX#n>;Sq3j3tH~xNNJ#2HH?x^09nPK4lgq9L2VLVAHf2EqLIb0h zhq1l@0DAQOE+)$MiwL+KxqTK!yGajNcVlbwPB2mfj*lUcg_Zjw>9?)*iDFWFS#%L! zZVC?dVw-~Li!n9-B-k7@;Vx{%f|+O5Y6>|xhDse-)yp0bn7gvm-F!cF@(SD7tT|1R zOq`=CVz0Py&{}ey2pRW2BUe&P{VmA)Lt7Q!5_4oR;LWK45g{{=ZO-yYt8#W|Ijrr@ zf0M9FKsiPo#k$Qeww1HPi;SK`vQ*fk9Q8&mS`!&b6k4@P`znI#6F3kQVD!ncZt&~F zM|xcfB$YJ2h7)F!lN{5mN}(=S<>E1-ToRn$%z^3}pB5h|{`Djlk*g)>J8*~nk5C11 z*|8`9{Hv@|r>GC8di#A-<>lN-D{=EF%@5Ch*YR%3)uQ?c=~y6v03LtpjlX zumhm};R(L2@mt|we}HTR7A2guH|o%z@Zn9zeD(FU@1S{KMDZ|pDqES`pIn8Of5>s& z@goBt_-7*({GaSM8))`O!7-!P(oyQhSZsV$#}*9o_SIUT@9m*)bz=DeaIAy%)6Y;} zh(2oN^`y3F6SL<-znDUf&$dDUq$4C`zB+ZiO0){4zwU1QERbkx!{OpSKOZwcNl5mp zakd5gB)(z&_#a97vzc*>-Q;)!PFv+HCnkaCn4s}0G{F^Ydd7d7Hqfi;>{Jsp`2XM( z2LOnM=*P+c(6&iAtHfM9Io;B&9%m*IdPP{D-=BR7gGcwg%uxSzT-HKBHoE2=rSf}| zP-w0plig5%{gMrPW}vdIqM$pSx3r=P+hy(5PHMCIr|4^IrZ$yO2xbDVq|2$g`O*?X zfW5%9R)%i(X0Vte50l``oKG#dZxJWmcY7&=7Guse-XwWqoRxnXpGC_hhS}{<>R#0+42IGp?I#iOZ{XS=#y_Ir05VZKl>eS z24&){wkyiX4Tut#MCA5N@8GsiO&43^u^@DCKX(oYibHUW1Z5v!({uc$HD3yB62h)e z$MAG6D{w8MK6+emsYhwhYzbYf-clJ%U!f!8JKCKflb7!ip7HeWhi38$Opf6FQI9$d zE1L*?n()4QEa|!Rz705;?6f!DJ{;os#1jck0I|-Bq&Jj|NPhh~ro>`-@UJw{jpW}z z(|vJ0gJTFM4rnjtXlkz_=eqRvzJk=u2Z0h4%;6w%-8r;${av$mF(=MPp2$?rql2^&TFFZL1b63?sRLr&eB0JHe)*YddGc@NPbp)iIdYvG9O3DE9pA;g zBkR%3X0wP0%wW}6%@|KInp0z4eD$TiCoif9c!IZt2k28MQjtl-FAvNm=SZ@zcp6mrXN` z2;v8wf6y^fG1Bw6o@e(+DH?9JdvrF)Aa{0_uKT8{{>Vphg&C^ZtX5}I=9FPZyvjkU z=1zNIR)$(DgBMDnsp+mkWU%Ucy`M15IXF4h(A5g^XVBx|S4__ByzJOmt*Wr!S<34+{!dw)?U>ym>Q zp*q$;v}auP6}w*{j|I5xLO*_yIHjvUJnR`TJ!}&GSHL}o^8WzbdiOC)!-F(oZhk&8 z7E+nEmDDSE$}_F2j>fQWYsB$?4^wkYm+zy&z!^#s(ivEwT3{B%h$%}in}7&Rj+KQB z1~h+--^>qD1Sw=fTWFDGtivnq$&1;?tZb<~A41eX%!ufSji;2yKT>~?fst(=iMJ_T zS~V9}lFw}vx^s3({46Rp!Tr1ESV#?5$2%il`z)txJF!psoOq_7sp$xDhQ45+70e>K zulZQ~hQ zzX8!p!y!JmaO3X9gK-;9wKM0xU^bER|6lO#TtjTBzO_V6^;ED1T>XEAyX|7ehF9;p z>+oIAkM~=fD9f?Ud@E|)ROdiD=zQ4k#Lr5O)Tx4cLt;TmEb!0Qfsh%r5GW#k7&Me^ zF>hP_!XON8Cx<#Qqj42Ca#axAqVb?CyYOO#D_%{42I*FL%NnaBeef0Gzad$N)PF^? z-=O~$$x7Lc6=m(3YvXkgP)^=lBvRO|s|wyuk!I&^p5r-w0sy`?YkUbxV%Z zWkw&eBICFV+$4h;_58CT48nl~2dI$c6}$nY1WjV2)3fSihF=8}k}zUmSiFw-fHv=l z1O^0^z0Jzmo}Nlr!2~ATl)6^ltT(Sd*ng5E+p!Oi<=;ZC_NnR!EBbEh`<9(!bk<8J z=To;2<%(awCYPAt`=|>pp`tkajAJ z4=5~Py#@Y)NJ^6N|)u3;|q*qE_`!w{v2=b@) z(Z%qhcaPuYQR=7m0Rb^n@&5b~XZjj!06rWi4lY2uT!E}38AYBNv}5(bb0lKP#TiTp zw+_!VU)s82SVr7yg56~Anq>6k%Xl<%VJlDKLuYuOZtH^&o0hcl0D6z(#_k=r&Qq2x zz1;or(GzY4^+{D6PBUA5z8UXr%3hSQia{P(13us8-g6M_5KS6dqjQi7de?{@bUu50 zsI9WG(fNwlg~GeVlV%kZ4S+O}p+Y0`L6Gp*&~ct!v3mANx%qrK6$tZUhF7qAuscBA zD(e_rgCrwNEQY!?hRYN!-igOjQvU{WmbRlGe18|Wh;}#DnkF%9R=k#SKm)YZ!%U!J zO)WSZ-CNg8dwYIFFd&f5oYW#b1-J2%hxixh+15yYu^o|+(+U1koq&121hvq8@bfMH z%9IWqj+x}>)surujVER8)xwtS#kr2fj?=loe$9Xi z<(P4)NkOFC_d<`bnzoW0(O#|7?C*an=t%b^ruN^RNcU#8Jkk^2X04wVLCB&U9jEvY84GM^h8U_t=$ZZY|b_s`!K7w0R-^ABK|K*wAoM zv~KSx0#Jkv&X6VG%+~hHqS&2q7)7Mhc}7}thK{;M50dP3ev!WM zHshKTzi)Bx?{?jPB7g3*-~fPa2*)}JsQ=OJ3>ZgYN3{I*7pcl=M_R+;9Et$`IY9B{ zpIABo0RQNpz&U^z%sb+P?=Smy8-!UBapsfI!ynYEb&IE|xhTi>)iIaiX5wI+5?273 zDlyBL^Lw*00I>fRwkrnme|qd1;0wZt$W&VOJbBsFGI`@S`r0(nd_*znp$-F}fd8K& z$*uS)w0B*H&N)7_=tIN+1f{RK=g`GjaSjMiWcKrGUnzrHbNx6+{^~ajX#`iG?@T&k zRisIPMqjehay6?AiLkko3E7g&^jTW&N*(i%>GK^F08j%py2T0qE<1i7y>Oejv%64m zs_WhA2zKYYv>&k&=$EPLP5F@(I<2_4NSO)B3XwhvvFIYOxb6IBpWTwj7t>3%rql3l zhZ^{FLq;o5tdbGNKB1O!6@8iN{Ci8#wex#Ug1ZN*@k(A;<3RKPL~ z^catFlXY@_Sh#5Z{{Rlj=(pmh2dqs{l>B{wcS5K+2O8lw-&GX1Rdz^8Ryflf*&bh} zL{-9G$!zSe=&UpzcXCaH7%-2_`AcOwZbugT5-L3_Zfh3lC({sG?QdAP^%lZ^lmv3k#Y4x&+)MAwL$!7gW9$p9R)r)z? z_LPj8_mj_;b7Bj4_~)Yph{JnEn~9mX_8T{&r}OmwxRklT(s*|K#agcd?q+1b#H zLm-nnG}#rvqq7SNY^?F#uUE3Ll$HGe_O~j`|5cP2(I+ae{hI7m{zJLz`=lc&6)8=A z)x*rqL^==KvH5S?)g*X_O~TUB78GO>pN@Q%s+gH$layroUuDNRWRaG6?ZNMxk7ib> za`SsX#Y}6XJ~U|hO^7^{qx+aCDv3DiTyfKI64va$Tt&JDF<$(vJ3WjslVnI~`7gw~ zbC4&|zUBKXSC?(uwyVpwZC7>KR+nv8b=kIU+qUiLbMAW)_YPv_%$+yyzl@B?+={(( ztz4h4y^8pO>#B|I>bRwTu0kUD6tma>0nmjPJrgxk4X=lFCa;XL=_ZFaH=`U1cYBd$ zZaK|oPNI9%AgxY?Ci4=P91GGl>HnvI^+~LPkXK(p8{49jM80N5KeSIw#Z}8s5pN}U zz5JfO__nEvj}OP%G%x!&i+Vz*>`CP&ate1x)T!x<82OWqiDZ4wDi!haZ~uu zm!Rx<5fv`I*Nz3VcJjO+L?CiiOd%E|Yx-a&(mR6XrY(lC>nT;cu#-v}^%s9i^ zxTV0-Kg^~aM%n_GfG`U~C{vvB278;X7N*$4CtKohcHj36(xP#)*3R%%^jbBtmdHQC z*lXoE^8bPlM`Us}59NrXJrdG1exHWS^S~ zCo`|ssqf&0Z-Zd0^n3KG;P zXZr_gU9}*sMJso`wAHt`7VWgW*(?8EY9FuRd$2oYF$CQ}NyFBMRZUot4Z|cKynF3Q zKwWP}fKe57+9;e7^Q0Z(6oUoL`l~kNw^JbwP=2F3BqLa1veX9G7qx|);Bs0RQJBz> zWc8l|x*XHO$RJeC=BsB%25QlH^Qeqd8ahQKOW)$R1ejC8w5S)?`kP^U6VU``3GspP z_8Ss8htCrQw1E*#FkCg-xnSTAm4Rq+@lr-7rD0)Mliif}w@aD-CTHEJa3ckiu;xvf zO@za(`43g=d9G*xhKn8pb#Jobw4{8S$_QH%ay=N|-BUYpC*`p8`>Kmg+|`9DED6uK z@2KKGLqlG^54G!JR|ANu8|duaylVz*e!8mIx*TczRmr7c3}71%zw?Xtd>sB=s2V1b zitw+cs)mtJ?{8WAqOxpUP#ArQHZ(dm<`_g4GL5W!ZaJx3xgl`K50jkP(n z$}{#$Bs$h4dq<7f+g5F@n)0IqA6laOylE(VJ4LsS6U^TuA|;&c96Qa%_}*&~8^1l{ zWpZ088)pfdfc|Hswf{>9#s4YN8hfeu@$bI5(L7+Or^0ycV7?z1cE!86dwzG!52R~l z~^*jATVWB#f?J)5J)53b6==AD`hP|bQG=p33!@AX-GauVsR00&3P z@%G;CPbkDqt`mA5YC@SD*1gB6n~;orI6ylP`^dzfHE0dK2VRDog)(a4jLt(TcDSu9 zi-hT-PCHh!I%FcpHYD*2NShxU9Mua#%1chka!z@%6|T<~@Oi7r!@ulb;MwF!3w{ox zQ*h}k{S7*5_`YgH#zgs2AiDU(zmrwZLxZ?oXr;HofA2uWSWxI=Rn$Cj8DpVdwPKy# z#hnvqXa9A7F7`W^#aq%btOdKJaa&0~)Bdi$CHfOoB#hj(0R@)rB&KnpXIpoKOcwv6 z+WL6d0`*1FR_1Oo{lLeXXf3Vv5O^^JYAU@rOb%3hwah_vah!oEnhl{JSD-q;le{RnhzA*tj?y{g@d$ z;%5Ygj^46Q(R={w6WT*`qeR;iHk{+sSLy>vYunZ0_6K9xW-{qIOaFA{3+aDzEHn_q|dp3X0$z2cmuGQwp zpH{$bPp-|lXo!Dw>uTs-m%(B)u_KbH7)hbhTqd|gm`B^jS!7K0>y6E^|=U1xzidQ>sz6LEawrDi3Ud+d%c8DoT(Sk+R${}DCq*9)#wS^fV+p@3NDe<=r@2~!Jg2v`P7LmeTI^?nK75YGR@ z?2T-!x;ivD4b`qGov2Nd^3VEY27v>v`tbC-{^;*tACeFAl5{_*MCq8(sy*p@Gy*^B zB=`GcOgmg-=8r^4+NLOqDeXEQpYngS(I1PU+m9pl_Z#lz@VLjvW~xyOi~GK=^0TS; zpAz@x{?Co?KO)os!v1moPRrTeK8jSi>{UN#R!)yM*pBi;}9hrK-TRhq`i%=)bK5yqz&uX%b8^j8a zm#)XQ-UDLd>^BdEGELrJ>J-8!u0da-CwxDX_DFv~ay~lS;6jEop-=kU!O+h}`o4PB zh1Ml5QLEjEJ$6It2>%X_#rsK(_=e)U#l5t57+RtJVpBT(?CW(^%GOeicw%`;&N)>v zIW1eI=Ry%2iqJnW6|VYtq47~zy)0aK&0p{MMs2rvmi#2@6fZtfNk2N}i{^Nnky>tm zEelTHY8EQVL zN^+TEs~c14{$5?>ux#v3VXwytsS>MF-HIK2~AXE`Rem{Zno71 zCBBgAezr~RBi>f~4uRi1OFkr_*G!=1UxAp$=+&6ITs&mIztL_jj zjUf(=SsAIsEiZX%=&)d8qrt&`hMr!e+}iv!h#*$gs0 z_o$v_!3^@sbzp~w+moU!jL*Arnwq?!P`&yGUvmfrtFTS#mmtgbLFM&S6{8wXfGQk~ zPw(LL?;gvO?&q0g4KkM7_4blek&nljb69%0sUrQ8??udSxMtKkybH~TYigOq+v`fK z`4q3YRQvNE5DL~jEKEjF{RL8LTImnBf%77bXRkH;WORqYD3DI$(<(duQRRqeJMEU%Q@GIpAbqA9cb=<#qtIJw8@U$Dl*?1 zgN#}SBF3ia=~6B~8osanz3~LZRXuCl3M^w;-t!PHG?D7JMwSn66IG9RN{RSf_R}u< z`~WJ}zDd3(u%B)Z$|Kq8DI%%u-liwJBdE|O0f7n8(*8brcwF1JqFN*3`xFU9L|Z`j zHuI79+pcwjuOWGn@k!eW@$!`&m8CdW$GIe{qSMz6vYxlYa}xLB!-oqEcHCxihlwl6 zk@C~!N_eyMTLV!L+VAgQGvq|hZfD%IbRPt86&$odT|y)9c_5IxdKYUk4QecD?JK)8 z*(>{McV<;sA=`H$w10K=$K1+0>C3lj{cvgbL=j3tDPH<8y>j7(&l-!TA$x)Q2^#Wb zEq}R4lDi7eA8saiEX03bz|d%S-J?iWHU*uDldxi&Hq{JoI$_+PLnOZHXmYzs)?wUW zIg}#W`VN0gn*4*JZ4H_|dixGMkWD}5oXADxn;nqbPJY($y4iP`DyS(`&k z=7VeR7?%UT{Sd_2HJwss#4qKSApz!25~nb>w)e`+OYujo8whfEQqlt!;*cW8o&!Mp9~=B5 zB>NQ?)0@@W^t}|E|M*iXtLuv6Rf9c-FD#sjuIz|d*fjN&d&24AM?<6j)7vQ$n{YMn zUB3~bE>7cq7Cl;7Q^!q4M9gburw|OB@k>Y-uKD_1GFgcS;+K^5%4S~&6y6u#a1Fz+ zwCktu3mph;l;P)`^I@RjSx*RyIWS5pC{+QlK+y}{^b$r!GG8rg3F-Qj94sq_rxor1 zLqUYNt@l|@F0t0H?alVUf^DGi)K8x)5YP5{$NG9^yRQ4APImv^p)BtK51I^`Yvr-C zU{mz+n2$H$D%k=VspWGMZN6lLgcX3;=*(PJ13Sfvr~}||Sy<0)3p9~jwlQ%NPAPIo z&B}YJt1p_@$x-ET`(183g0AE^p#i73YV9K`$6hO@)U$5lJ(Q#Ddv<<*8<)%NP{fv3 zPj=nsBa>Cn_o^iFJaIja+;c;7e8B7Wu*G@yKs8VyZmapRC^0KR$0fX5{o@zAaWYLq zjFuM6IZ2X}iU}$DWNERBHYJ4mdZQMI(>u#XtwWCR60rX1KF@}A&|K^KDZEiR|2@9; zA`Y;RiPPKlJ5x3ZYrXiLZLci<)Cn13GwGMd-EG9f$pji&55-BTJD9pptN1pgfy<)zflY{=Hr&G)n@l%XN+wnCg-PMyD_CKY&kn7$c3Q+BSH_=rkC?ZtUMsrf{Jrd>#g_QS6hyU#5fe+ z>lpc&Njm8;&p%8Yg+wG~1!wT8V5?LcQH+%xHV@l<+4!2%V3j~|fSz*}wHyB6YDBu( z!RY8kt*J3e&7W(=8o6-p&kgnHQNIBWMruas3>`d4AqP;e7q^jvg|!6yANWfqHA9wm)BR3}N%x)JT3?j=!N6r54l+h?}CJA4cl##JUE<*Bw7<-Bw)HPx_W zAB~673Pu?v{rgw=eL~O{jb)K7S^jg+Smb8?i-lnZQ-oHIly6(i*Iw=V(tb9YO^6VP zG8^ceiXArtagMc*>de@0tuaZ5@|R0RNGzo5A$z~fz?ig)0yl_X5q3V!3^WO*CdbCZ z;xd1Rb=I2#%I&=)tUQIvhFZL(u1)P>GB<`1s1gd0uP!vu)Vz3%KOxgL-XKGKs8ciq zvl7PLoOe~RGLASsLy`g*AUd9I=*kN`cP!c@0Sr+6*#|TXwfW+ox+@yTu9?)J80CxW z0WfbxQbzMRyrFHKUl`;nV2}1sf7YiHuE`f(qtx&Y@(Ku#HaAGqMEd9}hDz$`j|!bw zVf7dFUfG<)GVtsCGzMB+Q*U=_55wl8uf{O)v)lMaq=2+N*dmT4PGUjYE81YbTGTPakDL?R$4> z=VyL|{lW3Y-qsxR{CNr7P`$OAg4$`C^@;HO}DR zG57g1BBgjJEv{oR`#bG-M`9;WCGCSWt4+!N`TKWq`Y^{7qvZ{vwqi%t*%I?nH%t!6_MU{%Fo-WS-yQndnRk5adM--n|WV35J z=So|S(NXd1DPAc?jZ@%2sV8!q-|X~t@12m*O1op-qTPK^g9`eat8?v#?9fCfqk5T#%4$6+|XhbuPltT)7(DQ!>7i(^R?F;DZPK!vUFr6%r!g5D`%`3gz` zB{Vr7Q+&~oRUN`kuf#y=k= z9V_97MY%)a1Y|S|hi48dfYFez$o#|!8|=(6PGCq#HKftH&#_x4<`T)xd|yei+Vp%b zte3y5f>(wi^E=wB8U3O_8PxvlR0s^89Z-s4*X3^HkG_kkuMSO=mL6G*!G8e56_*PC zF;v0s?i&np#ueS_TbKv#;X5j=7XBETSeWk)jN$CQ(D#_E~n4!KL`fdRQmI(aUgcbI zyXBbs+#l)t>P9UY^B2QuenFY8@N*erkA$X6e*RjDPTW#%6wj}xlv+Ar7`pS5Nv>>S zO?n6hOtK-6?POE?$D_l*`m-%u3}5v-%3My2EU&s@TVA+e5WK%Cu~-gR7w%bVPj8`B zkCPp4pT605{qXZ>F!L&?sE%+$#;#20lgoIP}3$ERD2HUJi5MdbZ2 zG!s&Yexd`ISAe?f$N=<% z@%A?=?oS2TstRCiE*8y6Xhg_#05G=hnc{wypd2ttLGZsc`#(DSkn!swnik^uHQ(w= zesJc$w6sBuVutARjIW+ZtMfT!CS}dgMd6jw8hBON9S~XBa)^lOH9BI>K(59j=uFou^s=f77Zc1Yx%VsNm!xIp z-yj+AQl-aH=Q|3oLDT6)fivZ?^-;;u?X}I^fM~K&mN)O)kX+m6#HgCvRH>JhNrZY? zoz#q}Nod&vNLYsk`Wq&D0M#(%wGQcJSgpS&^{^*~HK`ix!ScI8JNH)O$ATWPzHZ=4 zBF`W*%ZAevVob?`_`U8v9y6M+9m>w@h`zSa?76+pSM@+1xZ@RdU;8)T_gVyeccVqn zyx~j-sy*Hz(}@i#y=f361DBm17r;3SXJhKpbuQm+Dre0aP?&@zRe2Lu;e=mob}9DJ zPrr~P>=MO?s3^iv+3ZC=O^}5h-NU0^fyWne^xx;!YK)(FcmqmVF-e;I=FXU+{jjy- z6@lo>2Y4#2(xfM+1>fSk(rw?NuDUOhs;vL;Q6%LqGa>uU184$3U1KQi!_#jzD)NGQ zk^F3FWcmF4@8q1i>1EM8BX&W&nPXP3enV!-EYS3& z>eOKgy9?GO81l<0>4$7d;n`t^rZT6lq5Wl1wF_I%btvhJeCev`HVpIS4l%Y^=aMN}<{vkYhK+=jgFbV8=& ztyWq2t_7h?&eB&e$zFZ_i<950pQ7lN#lVD%JfQWYh7IC6(wnkNK z7>yDJ$Czsa)N5>=8W*Ha#oc<&0TE*j!HKIod`^GBBZP3Pe=71AuoHU)pV8mbRA8ZL z7JRprRRavx%hTcfWDGF`nE+d}1a=le=oT^1+8VC~`VBH&YAn7l-VR@4#5QE8eqfz3 zdujiVuFn8M$xS2_S`sU!bTs@=NkB7IK{p|Gtzql|#i$e88+67o|=i;Sw> zgL>d-F&BJE>_K_6nXI1uehQHh-(B|9q*y_6S(E@KD}f*_Jm&# zNzW_aTuu1=WXR%UB=Pcr>bgo(OXy`!8YYdAPot1y;bZbhCnXg{iD1Iqgg5G~uRlQu zBGdVBU;)LImVRJ|A4dx)qDq)O92uaR>>(iwonA`*B^s1Xq9D_!e|5t{jnJ1V;o{s_ z4Pl<99}b4IGjxuI0z{*xWM~o?3O;iPMPt8JySpXs811+hirPNEg31M#V9f6zG8K;X zFtk833l`-bJGyD=5>x1!J1$f3k~Cqv9rhXQu($Bv?x>=&V(ZRbA+pGy?FFn1h15p3 z0F8VoMmNq`b{B6mFihR~FmNLM=HR+@33upW*aQeK(W`#+PZra`Sd{@M=Ei8)#5Gr- zjwcEoNyv`aEfl=_CT+V0GBikzSGDa!=<1A&w4UTfw}o8$%v2U7{zxjzxdxYGd?*J1t(}7kk!YW)N!9QzCP^(RR8vDlf){@zVr(H1 zHEmnQ#m(3|Wxek-hD^0*AM#h0DQZXCM<3Y=oVtuQ9D%tcSL?kVc<$?G%TS?j)#qed zjA1TisN~El_g<%g!40)7ZB`vKBRfl*VOQSNj<{@HR|p@{Pmr1Ws$xy=Yk{7d^qd^} zD~4-Q%rg$^Kig(~x)El5>7vqsGWzLrbwYDN%NAQ~;@Bz0YZm^gNP<)S+9xX59wn=q z<*`4*^YK!8$v)IY-uZaRlKs$S>ea1Pi&N-rR{w+qa-dkj0haa>;^aX@Ag*mAS(!r*hAXjq`8=rTSJa4xu(OpAP zKyq2!<3jItTA^T2Yvy9;-b?zXZdXd>BA5`2s02ZTa3C8g&t&0{XJ7S`RJ?~MC}9)@ zS4-FB@C;7eW!V~=R22#hH3miUQi2iVZY!)5Lor`Mi9p>PyYo2=ba<4M|R*_j&)(@1-)naZ`xJBQ*&o9_@RI-;orR4C4! zaoIImEt&fxqeG|5_d7QdVx~H>5A$T`$GqNTUZDBoP*MZsxI)g(HRSXAnz?Z#M%O>P zu8j}dj<0oH!t?s8sbiw_3DQ{wl{(!1&=hyKjEaK0M&?(xCQz~*?L-CWOwtFN2~tuk zJ-sBa$S}%hq8tuh_FIFn3sznm*cSL17M2(7f?qBQ zA4mok%(z~J<|)m_GDC2aHZj-G*P;efly{707gRr{+LXOrnz%^KJu*~K`RjQbrDN1C zE$`KzCj(pPIs0|PrIFaWZ-lO;^P7l7rO~^%*@FquIV-Yuxty79Tl!wh0>oL?XLG&# z%WGqj3rKfW9@fv$Ls@fE8aE9WO$1>fxLVJC-RY}tHQ|CqL^(t)#mQh<@b|9%W${Y* zs)f{1EADXwkn`S>Gv4PzjmaUOJ1i>$7`k18O9X|w%JJsaje&7Jl^WYE!G;!1g<;3xFL~xl{@NNI6 zQ=A9!=sKpMKv*spo}@&BW3t-ZG9!oxCF2P9q5|`o(s+Nld>%Xed-&qf^;#jrxJGp3 zpnmDt{t#tIOW=M(5RSw|1d@`<;)yfssVb#5hrqnQDl)Fc2>(hlC&QO=c=4T?zb^CySAt@@d-DBWHw!PLmxUrjL}e*Cl)!64YNfHcNF9z zmISYUwcJ%7gPVF8t&wP+zRl z^8-ofwhb(1Ip0{PPI(W-$uu`dncM03gDId)iikc@*d-x>#^n4kOH!kg2}H>8p?yyFOC|H-llPXn3K+pr(yTs6I%w5}nW zYKI=A1ra=+40zlcB>3t~?*=6@x6j7-x?Y=dc3$Nxy6W=L&3(@+mWsJpVS&#TgNaFm*fK1ym;HqCy0U z+R_#ez^vBmAvtj-XGaA`bt5a1;}$Hkba2bYV~{3J8 z4noUDkzaBKxTsGTD0_wi2`LD-y6f#1?6*M; zLJy<53p?LJ{`~Cjs*3BfQ6w20_6=otZaiEpN&1Q-AR2|uCF2RN=hA%@(uvkz>4HG* zsJdD2dWyip+M~+lezT5Q@xC8j03UNAiKRxbl~v!lgY8M_>nxAwGZhO9TKFn1URo`D zt?pR|Z$k%RBVVD`cFcSL1eq#a77@efYKu*0@%P2Wy4h}UtH>`%JU`MIn1xAEjP{px zNox`!p;Zs};yKmoik>o$sV9O?&({Z^r)9P*aq8+)3RY$@2dmYPJ?*@Gc9N#IBk0PV zyU$vM+0bv-eG^b6fw_kJocgzOeX~)F5_Qt)N%E#xUp^mtO8Q-!q*~9L>Fuec_swRh zDJYf9?JMF&Pk23dtnZh$*c5e)iF6CGODcQz9(gf4{<_1h{o-n)zrIRw7fh!jziLvw z+TtD^jH4txlo6=87183gf+_Ci%CD#njgl6HgOq-^6O>^IIn-6rAK_6nYL3IcmS|Vd zp+AVR4ue1dx8rkbA-8c119$!jSOmQ|7WrV)lc|qMohQCy-0Vrqw9y)p;ye{zgYu>3 zyQW-%oDRKf)Y3pmiA!g3cAlnN+mL{;xn`|N8CbtB`A^82YB+*|%CF|$9Liv7rD4I^ zm?9UBu?7B)^Jg-hF#Q7+SCdN`l~rRuaKJU-g&*(*w!?Yn%n0Bo;#!{CQ4`&iL;~P` zVr7aFiVfOpB3Gp9cZ*+ahBxfS z%?*GB^Kvpc7N^4QJF-6E#hr3-)E_%?DIRg5L!@L9dr$nKjtXDQi6^UAJS?A;rIzh* z^~9yyYdgr&?sESvl5+Ii>Gd-fhV~e@DRbEyIJ0bPKxU@6SQ=EjVkxl}yEqcn*R1&S zPMTv+UIryNGfAKINxW~R>iQZOgLB?Mup0(C{w*Y1&_2h5EDya9MOJ0mjQ0Vvr|$7d zwy<3H_Zy_YztM|yFJHbA+J}Xb?^*s)q`R+*_3*k;P*@VC^V2P4hX^71q1)Of=s*t`iUGQCFKDe7 zb=vPfXL(mXA;P}cqY4W7TnzIYOf@=Nu9(_iOLMcy#6}z{qZ)|SK~Va2m%^+p`Wp}s zCNF(SQ_HTrT(1Y^h(OnrJLFI(-;^xHx-g@FvYg7a{7t2pJ+;AZ8ejoZ{Y@v{L>g}t z0X+~X6pA&$9+PC{q2xpt`3!}jNLUxaPg4jWj!MdHT0Z=bCMbN;)2Eqn z)?{H2+u5BnbMdk^)>TMiWdF`(lAd;d``x1vLIpxk4}L2ViXh&f92zufjWm*HPoj`} z!d_Fh@7sPYl3Vt$2l+cMiXZE7W{iv5C8^+q60*1ci!>wOHMU|0vw2gGyUv6 znyZrK%lHBUCpTm3gX4Oby>rlw7-Nd_m%0C_!0I2Ev6%dq5kEpjl|}G&1d2D%#QJ=J z+gpE@y#?2~_EQ*>57%rI5eRv0S6$zioEHTY3V9d=`KfT=zpedU0|Ea%BZx5#g})d7 zyaNtv{!5k8|L=(L@j;_hioZuDOo0T7XkU8A%8FyBDZsHONA0Hc%Ju*PI7d9b{@`te zF#mYb2)L6R(7!!Ls1CcUb{`9C#M03%$ay~ecj{eMvXEXzR#`9(EB>ubUITGQRY6C= zl~bW&yY8_PW02h3V;-NUv1(J!Qt<&u+i*?(uW~b*u#6fMSmsbhrDM7u4)fN|(1(jA z-7SvyK5oX^nu4r}=n8`av();#cN)a3g=-mDVBuf>diGz}jlRl1#YnExhMzDc&xxkY zLZ-Q0@;^gZMKjbsn53FEHx#@!c2F|)uo|j=?fGZ4i%MMOui-RLx~^`%RoT|AKAl{! zG|H8s-jpHRW^;Yot*pa{LKBV3SmTIAXJa5R3<>MLHP_)`CfN?KYi`?vNN(_@UUi<>Lb;#d)z2TFzCv@OLX4z} zLmqL`>;1EDaahO%<{QR@>sU3+wkJ)nU87H-Rwxjf*lazX>DZ27P$40GcL2O!epMJa z&OnlNQRFwp0UEcrUeCt;Asas*54TPy{)1nV>udX9w-{T`I|a6umOSIcT;@fp*eW9< z4R>=?Q(q$njKt=~Tw>4ZFZ%9MlIjM`G87R-J;_{SOCy0^V7}(oCJt`uyEFVa_=2Xd zdo#bPiT-01fXBAXi;|#}rggE1#{^UZb^gMrHZ_vdvbU%K00L(SjpF`F0k z&X0TNxO!EzBCC5o^>TQS(q|J4RkI-mc1V;BG`^=(B z+VARMne^-PGbMD33}@BJW#N!MH1E%TAaH@m9{V?b^z$J17!XOvAw{jM{J&o_B)f9FTra(jU4wk=uohvn%F z-wkr5MZ0WOJwh~4K+(kbHr*HT(O~vx_3$U3ro@niKO;a7cn8dn7(n-%4q;G05YQtU zRO12i3mw2Ie0&yO(jW(1)8=g$8PFflTGR}u$Ag0BwbIP*SjyL5Q&uLR;*8+q_S>k$ zLDgj{D#9Xm#6_#yC6nw90VDh{GwQy2nos9r$NFHoDnYr;A_OD8YP!wFUoK^{M79%M zhgC`r8JdFFMVC{ItYH+tB7XA&zuSZ5a$5SWfZ?sqz?8y5P#Xa>uq5)8j~KZnON zb{zWxI1fV~^2|_Hj}~YQ$We4;ES3-VW=wA@{^RMu5^<_(c|o6208 zqv_m*m4L&$eYJbI^RN&ogvt8t?snMdYH3*Hpk8!+T-+Zd4j$B$f80MGxeUM&gM;%E zk{Xm100&@z_3H!(Ah$myKY<2P*4w~;<9NTh^ad5??9f~%3?dv>Y}B2g7tVYeB;X{i zw@}XZj0-(JESCKl#+*#p@T@t?OKrh6vQ>trx-aJtNC1WXIFPkLE9RL{Ml@zyNLloa z=Mk7uRFGF5M%1U&6m1LtL_Gun7IgmVn2o!=oU*v^<@Etpxr3oUJzlS;XAu(fkQ)9j zN_ifx{djS}Q&93AYKy}zQrzA?N(MHSyo>7IE7(?6X6y4zM>3auTWW&?%4Q~`B>eoH z(f$1Jon>XFt&WRSMvKc)2z+n@htZcqww!Q+H%{v3a6{P%! z7~M)akxtdsRxmwVQp5PB`&#LIf7^v?MZg6F`F5w5hNALh@Eh8q__|9#);13{a{5Ab z#P*Bj;H?m&*U60aIy$!*ML3s_)F@>)bY6`;!Q%H^yt^t<+)z0c+&4AXg@gfLAjl|Z zSy=SFQ%aBi{uG}fZ?a6XE0xLP&-#c(F&}Rrwc^>L!t+NaI((_$64rhN#^$H8YuG5( z#FUXQth4CZ>_CTMO0M7D5GR-nvHg zCv~>8SimPs{wP}#$LWt*V89*1XSv4vgF5UqKr1%UY2W4QqnfsDQPMjI7^NAC%iRGM$a@p=_^GOdrQZ2IadYUZWm3D zEyW@kyEA|!SbwL*b-0NU@{AnR2By8_y7-NlKSU2`)kOLgAiMLKi>gJatSLl8w z3so0cm&gTSkBvqf>K3f!=9VV*KbDc}%@4L{!#$(kFnLUzT)R`*Cia8FLQ65Rqm?oG zC(RCNs0*B}k!t9+g|!yh^=9gnkd8f8@Yi)*Z4lW-<)DB9h6{-)U}Q`jnEyZ>fs01p zpF1jI&iFbzMhW6#U>R;r>cnf1eDqmHa=jbsN~+BRR6mpKgj^e5387O(B0WPf;qkPx zIWAACA_>n*&jUM9dJ4_z-Liw=IBUks#&^Djp`qgs3jO0cJCkIJCXiygk1iiep#T`b zv0wr|JWzj}af{ME8>b+FZ_gCWQqjyyLcmaLos|gKp+8HK}Z>X6CAMGa#aZ-jDcKFmxns7-BwC zbXT;z%$?C^`aI(y=*~&mKRjnxH+l3Lmjv&5U&imS^WmX>P>Z^@sm&O}lF}5DRkJX% z9NK6>50t*YR$vb8f#|+o-dN4n5dY3>9|rbKA8{Mh7Pe`9y)_#MLM<@bVGPj5i%Wa* z*pb?VqZz8S8;A@qVzvy2YbANM5N3skJRxkB%v(y93ThIY6l)$H0_(d+rdvHMlVH0>B_uvr>}J zgstr#koKr=g{_#{UmiRl2&Br5u3bQnR-G(VTMmOP&y1Q(q|^+bRJpDiAZG-ylUi>3 z^m}VP95N^-%dGw5#B&iitOq`+4oLGa`~fyEpM|9_Iu0k}_(#8vWQFh@aVe6Ydeh^HIfIQk9CJ5G%2et_;rH^-VVyqr$++}TsuMa<0AZ!hq&|ZzXTi6CPu-* z!pLxu=`$jd`w|7ubmbZ3GRGzwGivD2uS2%{SD2A4%>YL*y9=H)B4D$X->Zn9<=mVi zfa3bf3Pzt8XabdzgL!12Q0QEN(oCj!-*CTjPv!XG56*52-nG~U7x ztTA~Q_&ciPDwR-heWo9uucV*EX{8zS7cOt2)G@u96tnJ^F}ArcE|2r zvU}T!t&8dE>Bk93mJ-u*I-lJukzRRK0jhn3-6dD%7{t)H%fxw#&`*sKoM(ivYpSVU zZnla$TnwqeuK!)Nf{05WqGFgJ6ZrrJ?{LYh^=k)?5C|UNS-DmmHLW z7vzW7i~4Qp^rl=Rw@`!b%kU6mLsbxNH{sO@d-qUX?ULSC?bwwPtP|;R%f7`$bT6Gq zckW)E9n`pBWPDgWR7sGA3UfOc(i+IL#*e$U^qCznT*j$Mt{gn$eXg;YA>Am^K1Jg> zu%4ad;V{q=QNMl$krzNQ>%=xS&n=^;z7*|iK_PuakUtqEUscslhY&)HX$Lkg-SV*RzI&$pzQCv%4Q$a{f0ovcjuXZa=+KA#Dy_^kHmpdjtXE_@D;@8{8F zd*)eN#qaKBkqx+QkEdn8XY`*$wXa{#T^w{XdM!qABK(1vlo{*TV@7^{!lU8FCXKI!k~9pK(N^$X1)bH-HZ1tr3N#m7^(YKTV52MX(Xp1D?8A|@6+%rzaD0i+&rgZNZ+x4fqXuT`oo!+yM8oLyp z6{hhv4Uh@0k4VzuDIKP?5Oiz`OA3<=aAKbG{eO{OMiQ_;5VaW`A1GT$`gtisC*^VN&?7HCj< z_GQN=fIzzQ1W>Sb7Q@%LK6cE@xb6tL5ubihRUd+2&bo?=_B#{kKs?ReN(9yF@ zURS2_APY}pE!=keQL%<0b?Hqnm8W6urm#tb`npl!bWkf!w^Z~q0RgC4)OvIV0jrC= z!I7+D%;QTSMP}^maz%E*TE(M?*DFt;KfzN2ECotf1T0^e4dc)AO)GB7p99v#HB>0M z#k`+MtMZ!DV48v00$@UO4ykpJZ#@|nD8idB+tu$v5yURn9tS?wWktq^cjeh%ufykP zxy)w6Oy^%%z*eu<9AweXU~bN%=a-wgn5lfY$FiwYIvrKV}q?BirCX2$?_m0JWz2 z655xLG;uJWfQ(Jh_vT!q&)A-sg9`QVwjvyH3tNg%@3-|L#gAl5H*{)&`Qk=jhOn0k z-TH>;Cx)t zq=!a$q@Qvqi(WA(TaHueIqpuWdjtZNe3s3H6h@T!*Xg7|0s6$<#E|K&hrz7V_qyxM zu&=Sz;zg8HwG1>=boyFF#ml7uUwkXROF}}9x3^pjcVrcr9tsE$l%9owGlNv!pAvLPAkYCmDbF{YH0r(riP*n63B%z7{_Y_l zg>_NvpyMTDRF<2|(aHj9F$`HhYxBINP>B8Ij;;H_BC$o#_eFA_ECas~W06@rW25^X zof)wiZN+4iWUqJepDxUR!mwr|p2}f~&X3=O^1GO*G*nhhsW|ussjRjtqP{|GhJy|% z)2Gt(HQ^yB!asn@=FV3A$QF2S&&ni&KCr2)e^>!AqQK_YSTCkY!{rhZ(^Zodbj3sl z3CyYj0iL8SCq~VW))C*hVM+eC0VRMkOCr0Ic8{;GIZd^7oHpg*Qc4fnl(LL{E9e z(7IE^xS2mtX56zsj}8g=m~UUs8r7f}O`&7{3v*u?TUXPqcVdh=F~#iIF*7qWGcz+Y zvmG-tGambxnVB(WieqMGs`Gx|t!~>}sZv#```4Ccotee6w&po&&UDQl%QR{l{WrC; zRplno^>&@d;agb}_-3Gdz&qkfL7}Ot`a4eb+WVFVOfy}a8sLhp4CqL)#N}TCQ&7AO z7hP3ix0ticzJs}FlC@V`X% z-lDf1yA`oC@b^pbz18l#Xl+S@u~Ls%#K;TjkodX7c5SYu5LFeyATq6n=Tp(R4HAcG z&yUIg8xB5nJF8KxF(hpXJtbo+x`8N8u49)U%nn)1G7@>_tU|Ajwu#y&wvi<7#(jRBat@E4oqHX|_h8OJc_w26jqJz*DA zV{e&n;=W+{WxI0EA9+H9g)F|jc-jASryY?SQYU!jLAlf5LRnxkz_J3HOH9U%Cux_t zZscES=9-s*>redbfVU?ATA3D{SkMSYRY1LMhG*FtqF6b;G4|_5z91BsGN|L|@G>7G zVaDmtQ*#7Vqvu2hVbKYw-G2CsavWlUi8f~zUM3v7bMQ?#I!{PxzHRQxw`UDh@Nj}r z^-nWYc8$WrZ;_=P&^ap+_ck5PoP`*GqLB!$kJ^?vU=IklS;dt$x%hh0El)H!R)VDR zG-Hgfyu^;jd222YWgtatrWXpH$0EEwqEE>98CY9Bg+Uz5PeI1%{5zQ2{f(LQh<*O?mi!P|hjEzU}Hj&%JMV^@Wy>;wQ zBts^vlqc6igip|g6eUS_n=i2Bo_|-yN@P4>i;>aO|C%{7oIlW?F3hDfS^Z@YGZF%Y z1;LY`p00v%AKt@sv$h>&r?Nb{aLt)i>tPJN>{gF<_LdRA`bf>l#2ahsXn3%}n6p~t z5+seW4B2$A8&p`{cz&ZIOOjueK!4}qJh+=-?n7yvJ2?XKd|u3nX;UZdro=A)BqtRk zQIS#hqpmOib3p6GEu~Gyq%MnRd{%Mj=*3Yy%?Zb6dK};@swO?B^$1tfwikV_%v$SY zf#igXFM%12o7aOHtWzQVtgvPEplo~enbLK$x7~r?VAnTZ8pP?s*$5jUd(L7-IbD_* zk9Q2By%t&)9yJVeBUyc(E*&Z*Zi-0!vyzBk*y0Z<#ROfbb?_!+?P~=EWV30!RnI^MpRIe%6P0Yn0N+7R2jeIAoUOdrk~V))VLmN z?96hM{&2R3ZepN^K_b?0Boo3Y&qVu;z_Z$V zb=hlJ=Gt}dZDVFARy8_I7HFbWuE+v9{qmzt^@0-bWbGT=L}m@J30if6XX%V^`E0c> zV|`;P2saqwKLe8_>kp>?KLREJjt~O^fSyBJ2}afilH~bNHq0B8;+$=_)2`i`bB}DfY6=dP$2iz7QqaLZ zT_Z+Q=sYoWVEP@!55p11t?v*?{>_#ODj(T$8t3(-#&%;sc&A2W#R-dU8PnIhjytg@ za6?QGZ(Qx*-HV$Pm1JgT%M#HBm->~((7M|KD=|lN?|FQAIaDqUCkd*Oo)z!h@Hnk%Ow&FWM$B0k7{aZnMRjnf4*8>SDx9gYZ7D|$6tYU#)gU=o) z-==C4q?x--_k`X$aG!?F)3Gec|7cRwGD`e*$1~n}n&>2irsCJ$>8X31{zQ3sF}f_u zX&kVKwDNjPC5X9Qp1i)%N|hY|3C7b_R!*jBHc|DwyjP^cfRgG22*{H`>Y%Z|DZ-pa z`3~LoDV4%o1mb8v`ANVz9P9V*!Un+@H~v4Cvof$uS%8$WJKk;eFw4313M& z{pcH(+N5RMg~C{?Q=ma#L&A%qSO&Itl(ifDr$0sL6|S%@asq4jq*$%IP?<<~OgAK6 z4$&P{hMqR%N0s7lj1$o16yFj2SP2hgi0Qu1Pd2l~8?Tv0wm~T3qd$h31F=l1d-_HQzbWO_}#6^`$c5`LkSX%=X79#x80F`M7Ik)(-6 zT9c7DpJmfu+R_d?6wh@!D5!%yQ9|-djE@bESzB%O*+U24LL6Kfg+3ul0dY8l_2TR7 zS3P9kmzSHSdf^-+et+QtNakXeW<=4sTs9>wi3x?&-d@QRRqCkwE8k|G@_XE09loA` ztU2OTbQI++Orm!dY7qun1cq!K5SnZ|r(tO}@v+goAADJLMCc%iq&eT&E4mO}k|X`Y zm9N&k4B#I3x9{VxkT+B?+o0cHPPJM#z1wzxas!X2JPK|17fB_TF(5eiu;3nGn#->$ zWLI!_=bO79nrGZTPaG`7l%!}nAI104O5|-ii?6(2V7VIxid_bFT=Epf*w`UOPH}BA zj~dyp-EQ#6>hBIm#Z^B@y&CnZn#}R7m~#;~d~7YIHH;&p%{~3|BNT^WuFkkI^)adN z#5Z;spQ-CKSRfLe$A1D*y_S5J<_l3$;5LmKs`JRRs*VoKlXYq6V`DYdtTib<(dkPn z2wGDLhn7+_ul}A&>`f}$&RrTBhWoz-B9+FBiTdS@sej^XPnFVXbG=%@iCV+?AnDDnjLviFf zn=$Z#lk+siwD;>zi+r*jAVfutDDt3-x9_*^;WR|JCu6nPU#vIq;m^MqVLHf)?8;kt zj`OAf$(2p66xz1}I}2MEtSKJv>|d)#&m@a0y4oGy6<*4-esDgZiZ4g(awCa2BL~73 z#0)x7V~;?gEa0YBSN(*iN4@T@GzD*x$UdfFRde2F^4&l5X|SOh=%%(qyE$Y}D&JgI z>w0^U;gr*I=?Y!v)?4Pc!yA5pRYRECdgl5mncW&^uq-UVwamV>>t-j5tYCk#^nf~o z0x<<%r@&DhuYUJOi3$B>r!My=esaDQ13!4!xJT=K(AuBr2zxK!X>TqbIBZP>;bt#7 zkIGn=ov^AVFBH7_U+_z~KU9wW?w{go3^-G2Tx)iQ5XGcSv`dLn0LiM1lAtmIK2G8m zoGORz=Iy~w0!Rd+y~HnvOzx#*I@5!m2)xv%(InhOmOES*?Y;IhAj!{p?iMPQ3azx< zh0RcH-u&DIHR~VPkX(JB-xyU{BsKYIE=xfqCiY3d(*z=zorYqkrWelx=sin3^}<)i zn>k9@A1wGw`z$mxh@Q;QM}2F_&=vVtNsuWx@b#Odr_9ekeV_iKXS}@hI|7zk<2F<57w-_$oI*F`~yJv@#HbmI|^?&n6NEhlzI`we?lM0d7bdFQ~n#6 z1U8VH$ES>@+#mt|7t`dQ&ub>*K zI$7L9W-9#8oaZoqPblZ^A;-COY3!k7=bKz`c`Zls#nIz4VpxSM@!#heRZ`Mohj3wD z=PI3J*mRtGClpKG5j-<`%>3`QXXt9|ao9Z!__{Gr9{E>y@CJM#Uc#?^L9gH&Y^gik z*GoKt=Gy&_;}`BjGWDC)gJ##^x+Wuy8JyuU*qH&>l7V+x&{C$}hGy-oIFR5vOMC+rP7>RaI#6{_=bzC)D zo%87@<1wi(?3YPPlK+HjuA$R%ZgkDRLBx80pOEpUFCa>By?_2LgMyI8ctf9ho~Vo2 zd9Mb$Y4Ix2ga}R*oo~uL{!t};mK495m?GV4|Z;n=pi7+6lGJI@yD>o-0b=56{5zhr-1T*SJ z-QPAZH$@2($oLh{PERRaLXtLDANL_rHbp2K5*AzQ?sXn&pRI#iZY(wR%}cQ_#t;#}l#gnR_@gk=Qmv zf;>FmP3mgTf&%;-RAY?wZ&1y7LG@o;Ass}e{DQ{CSI)PQI_Q@69-1%VpF(nJ_HO2p zb8+ZQgJykQp4O1QQvc5YoHjwng>5sE?iPrt1|B?jntgjF!z%t92C(m69{Kd{4qZ^W zb7B3ihkFQuss-f0e**w>fF(EpQ;nsqxh!2O!|L6|)J%!(Hz~Czn8_<1buWfYG_Yij zadGJOyr#tIV|P5*SvWKsYkICNOzOxh1vVqGj=a|6a9^=rTYjUT< zZA`b@3Fx56PbzJ5-HMPVK6P--b~(DKrl~wogIq?E>GXW8Ei6rk14LUCT66YH+GZ!g zNLyeH+IltodC0@yaWZQ>jmTo`58K*h~dY6>kQx6lu0+qA9fDWojgCF4$ zt6V)7V$@=c6aM+P#`KB7ZJe%d_q=(%`JqQg@!J=|%MRlp>r%hG)o9FwX=M3bnSA6pJFXfD`OGcYj z#KyA`hI9NsrEj9LF}|(`zmvYT+3viuPnNLUC;Ee7)o;RYtU|E=4+De!==0zH=+h4^Gx&HqWxdfgJ~6%{fzpp5{;P&R`Y{3xkEfRB!S1(!b4S99T+^YWUG&0NVwo#o;~ z)%|!y-%jpl0Y)bs+rx*=o2xgrWPIJp9~R|PYOD@@O6AqWBP5=l-yUWOKRuZXC0J9~ z&!PYb0q&u1^FVJ8V2G(7wU|V!J*Vs2B6^@rS1?p-JaP`QDI^#kwmjpOI9nPjvRir; zG9a?Inv@+*3P|>jU@Y=)aE<6rMNfIxmGwb1OA7m^x={Oby6qmrF_aSA^(5%q0j9wq zTMcwo0{aj}%@l0)l%a-;Z}uu>1bRhp?pq}h`APgPFt2A%5$tE@ua|89o6bJ-%^A9{ zzc-4b)Cq;NzGR&WLd=6|M=eJ~Cyc5XiLobi6BXnMsm2C8yEz{fUW1@=GWakI_ zQ3cmJe~YUd=H?SRke0CViXTkmn;e-CdLRMEm$)NxNE*rOnr~ZfN}dmB@!G7p&&>0d zo-+OqTKlMXx!UZaZ@$>*tC386m^?ZzT`Z=p(Hc^9`w3ljz-4L~qsjJgohsTt;Tc1~ z*ukkci+k)(c+5{AK0$&ee$TY+E<*+Sfhs15W=+Cyj>~ka8o6cYPs2}Fb=>s=5Mg?j zv(HeAfM1P92la5SEfx&R>#}3%>T!&{g0dXGsch>j*Q1X>*5*SGn&`9;_y5|==Rmp? z`S#Z>zYgs0**bMDS%IH8OUCoY7ryzykm6SgrxmG1htAZ!OuLbi*nO8!Z`im-J1F23 z7byP**nGi+_zvgyB9n=xr;Iy<6*NG`hfDe=kaUQF50 z>nqRT?n+lgNQqNe9cJPWj5wZ7MNOYd+sBz!Ia{U;QA%(%^Gm_nODv#7!kfLcX6C!n zGc)i2L`LRUP*F_Dh;KVM`6EZi5Sy9S`T73419_4H=EYlMNi_ z+bA!^;k%{7R+={LHqpJ=BNL4i?*8UAT)9Ue;Hg5-M9*BHhe^p)*j1sSen*(7?o+iL z<6&E}GQ5roo99T`PzBESD{{TT^PIXHuglliRA!ou)B5%HKU7Ja@QB#nctJBW=T&!ebiK{T(Ce}<9=VUprEOL7S>+@rB9 zEMO;27edoX>z$J4w&l4DasP8RbRVJzA!QoddiUmCl26y6m6!3_Datf7M~TrHv-if8 zaHonHStJrT$+l5w?Bj1PpKLEGKD_w23h-@SIGG~BZ-NQuNe2^)2^!?0%6P{m@Oqo` zM|}bDnEIz!vVNlzg*Z>FcjDtVMwTf6&Iqne<<6d{ChO)y4-C!1Q0>Y1+L1;%Oq{ei+7_ znsUL%)uEJ>pI;zM>;$fG(vMi)Xqzb{OOLuzSl_yUS^s&jbH%rl&P2lR&LJ}T^8KU( zLMeF|dCjvvyltViVMW7I(^7M_(?z|aq0bjrS+lZo-l9_3a$N%r6%FFs_wU~cJt;kj z2(~+j{H%~o{5J2mOSoyKw6oiYT)VjL*Aj3Y(PK(ahKa~Ml8H*4#gb8Zq6=IqRX{q{ z^e$-|iAcJ)a=_5y_4A?>TtsV0H=JyBdJ)jVf?1UByYkeifmPdK#Xe4E6YuNEi0Bya z*`j&idE@Ud)DpZ6`ul<~HJ;Y50fXmV)*)=q3q?XlaOwMGoXbO6Q{MI5VPeg`1dU#5 zaD(F(jQNrEbC==b6RLO8FKa2nC@EQ5_Iq=`SH1})vX^R@d8);2yBD(6G>chD#31P2 z+$BiGg*?AUZJrH{hsTSmfCut8b0})Ey+37?P>QCXsb9}e{4C5Yr>F*1KxK`vMATJF!QRQXz$zxO z`u)p{%_TyC{IvJoCxSI~THZgGTU)UYYYw%NR=|vemi3dMj?Cg=e}ko=}4^o{|v0;_;oj#oR4? zeQ_MD#rsoL$GdGVWYt-yOUfcr<`#->s{3MMnHs7_tJBqAGZ2U=p1uAW^As)Qig`>H z!-Q4bDL5f6U~=Glx!ZYGc~&`N@bYVIR78dHnH`U6xnkgVw5CA|Ia^V^2>mW%xfJv_ zME7peGY3b zo~u*PEU~P)usr{6C3%Pphw_k8NL&F+ixP;wZbB?PVT>Z@SDNZ8zup0+hFM&p!ZNPR zG9+?7DftMQDwomkd`;y@{hiT*xZdP7A`*%*;2MdI?Khi>^P2+fC_9Et55|Pp8^8Kq zVkiySKZd&*19$fJa%(TdXuGPnMr55h7k#@=lwY~1vNhrA{$sT+6s0T7LOW83yK>l0Gr{^3!v{rbS!n zm0W*$Qse$msP3+%O*xjfw4Kb*L~ea&s&Sf?fIN&joZMAzzUF3{K=gqK^u3`l|9jz; zrd{teD-Vp1kyw6)jRr_;kE6Ruh2#Yzufjr z>j4$wErfu6T5LHdgJsG`o;bd~R3|2$@oj%ziFU-^Fwn$%?iVddvgK`UJdF*;ZiL%5 zeN9C5;VE~kHJ`#YDW*K|^E_*47xDI3i%?{~jGZ38W~G3hpdc23f>L$qRBRgsch-S- zfMWCaPOW$)l~_$ef>sMgEG5=~UyC!OLM(d9Dn7-2N{B1e=v?9oS{22Z>Z8B6M>+LeKPYE;k8Y|$hjxEGp7b1Y3Tlf`dOyYu?u^*{ zj>Dz6-j<*k92JE5${k^O8XI*}zFk$A@6(=pyyUPO^<_t0DlYbbd?mX-c!~k_F{8?u z!V?7PPmH_c=WrG-ABzQY8<=eYGWrSvbVG>21Gr?;@lo#z-?zVBot zXqb8QkltXstlaceqHZe82(zgkdsfIamRdDx4Nlh#fg-%k*6OUpuC}kc>FS`6>?Az2 z*zmfDW1VhW-lApMM`loBcsPR*ccy!>@{d0=2Ut$aP1yEPtieILY8p*FjjzmZp~>i* zn+=y*pXf8+b|q*}7mVU+Q{nD+E1iVxT?LQ1lF{uLuWvURr(|qDemo00Y)m1`@eS8O9N;4~R4s-sm`*s3NA@PfeQ>b$Ha=u3e(r(~^!C zDoz=*V4=NsZ&Kb_bviZ6QCOViq?2WDEX=-&i^~w)R_!jd)B=uxZAL1veK!O5-_CuF zZLjcGLE|fVv$C3E1852&9g4}#bmR?E3nSxV71($1v5BUnB>ON$PSg<_EEciD%Z=z8 zD>eI)MyyLOXSytUf_si^x;FdgUu>BOFI`B;c7{k$`o#CV`$g5x>ROX4Nk=( zyJb4xQS0-`#S}6XMr#-1TtWHf8mZ4TOf_VZ>eq^$RI|n~{XvR`-)5PZDBqFM=WV~w z(cRAe@ULA(uayxcp}|{MjSzFl(Q2O=odhyP^DD1;sgV)2Hh@AcQjzL*@DjDfXyKY2 zSywHZ$+N^Lt8N(1&tP5L;P~Aaly6jD<}kgu>EX@sqFUhaP8);gb7p+Csz1^e+KDK45hy}O8bsyFcAZkyUz)kC>LlMz(r(57k(|H{+hJY4Xn zaK|8wwJ8u$@N&^WQU^o9xl$q(@5&E$8_H%<`7)=!mB(A0T^tCxnWrSD_G`xHvh>SQ zPr_IpJDfFkvupkjJD@ahSI{gru^$B~i}y-zwtsgKsc7+WqvC{LIbv}$a&07*M9WK{ z<5#0#UVUhQY`<uQP`2=U>nTsB&FNo4~L9X zen?Jczt2pY`gOF!3@lmvmRq*oO3wz@t&iZ2Bg5t~Up(o;e@JtjMFHt3T7iw3wj{h% zxnNB#_GKj?50*?4*=wRpl5~{ny1l+lco-gt!PcEa?u}|L6dG?Sn~t6&Vv`16C2&d) zRmP-%->T0ZFfTSu#re6F@5~+GlNjIl=A|@b*OSq|ZcJ!JAlQ0T@K6zs^^8dJQhm=s z)oQK?oxMx2wqP=tb?bwUVUV~i=|nBu_(*Bq!x4=vBvRty;wDlSYH~JVr_=a@!;BRc z&X!Ni$`1GC(T1#RY4&d}SEod8Qgeu{buBkn$(y?No~w!r!SbnI9;>>CL8-FoD&C|h zW3yw?K7_Pq=Lz&alv*uJN9%U3=kGMk4q1GHo5@cQ#U(-gsGU}MR|pYFi9ABr__%7m zWLXy^UY#DH7C-P7ez-a8qTfQz-C-K)9uvZ@PkU>`YHN_xq0y+C$Ow<%Pzu@z=W=BL+mM-xVXRtzOKSMlQizh zxD(R@d(1Y25kUawMW8I{78DS%$Ii?9!E`2tbrcH+Qs4AXZ#iBrJDZKVsj=E4#WeLG z=aUse$B(vv{w_y`GsIim6+uSo_V%8n*;{E{JRu~cJcqEInKEc>*^zyX<`+h(oRSq+ z%QCgpc(2=(oeJl@RnG|wIa6t-Y}t1oApCN7dVYz2TNT9UK2}HTaG&@B3SU9WP=zC& zm$MMM9|ba=u6XX-ls!&=C>%L4wdfq~5u+0AZ3xv+QV?#lM$5C7?g6V>jPNOE(!LtnEvhPDkN;zGQ(N3*)@NR3+=u^YM$mRasu!+OC`iqo1quso^ zQ0CXV_v`qL zqcCim+(y95>YkMbj!g?q+6Q~Jr`n8~Uz&upKOXEv?0#4(6xmF)7fYP!B+cA#y{;WL zo&SU+frV;a@En5OzU#c--PNMM4M!y%MV1`RIiGB!f}6?oIe&S0wA=MHIbm-tlfLs` zB+iA>Xh6EGP z)cg9>O0y_L4TVIvgTI4T?F{AU4S?BaG;L;yoP*`f1Jt{M09SUgry_7HL*l-5P>$+k zN>~AV>}L&)}zQ=gcJxlCdh>0Y`FGfryNDvr+3$eb-gdqlf}d*ZrN&Awu6r z&RZ8QU9lo0$-XVcEESrDGWL9qzFwcP>?>z%L$GY6X(zV=bhpYg6voQ9aS4ny@{A!= z>o6{?)Qr@{D?C>q4=5(hgPwekUq-`0yv2%}sj8*y*ewPV)V6?smSuC)YSHxjLiX!D z{tnuF*Pg6ln-|+XN}nGu60gi;O7(c9Xcwc4*2XfD=7(r*hVLu*3C^rPwYqNWOLZb5 ztguhGdAZC_x=3%Xb`yVb(dX4guAhif;cyve>PMYv!OyABb`2ixJk*e9)l_ziG*XN>BgT^LP?`_( zo|=#-t46xMUai^ol5l0kw{^YH#dZQ6iIkGd_72sTz4e1_td-Xk*;C!)=lA#@aGE;2 zkd2jd0a-F|ShU@A_30Ndx1h2lF=3~=u!02{9Oc_4I+Ho(0nDGKpn_qb)V_Qhp$K!k z7r0qEqPMB_5vSxKWcna3*Y16&304tCNU|Ys?puTYdb+1{(rU-AnK8qCPtkPWRtcxq z9?25SO)if&v4;#@1fZjATzrl}Wgc(HFHU$1r1wLaz9Et5iHCX4L6D6>Fq2IVX3lxe zrTc_?RHgKS(|*H6g?FWgE2V2_G4|7G*ZyMqe%atj!%dE-B({6(Y3p8Z2Q#%=g{x*X zh>sO>#rAkQ{U`sGZM`BmWD;BHQ6v_c&heK~oK|m_4nvB*(>ctUoQ3p(!*djj^@H>b z-a88CZdLy#yB&;?J;R+lcQomtO=6X5}7x*(DF2C^8@#-`H`H5F z0mwobXJ94=Z%G$U6pr86wV98sT_u$x&n4S_t%85A6axU9e|{(i0GQ63rq6}^5b*CEYG0uc%upsw7s7V} zB~5I`uAVRZQv{tkUGzTxbHQ1@l!@`mxEl9qcevsvZmOqK5~EM*w~qln^$$rXC2kOM z%{{5o&+RPsbveQI&N7W6i~qSN?LbZ9*3@`KDs#K>`gq#ABw>iQ&alxn>+e`A<(l6- z!{~aTFe@gf4OYMbAmS$l!(UW zW4*yK79~V^eNEVOI+;^5+Z{UbxNWEt4r;D?wtZLnaYOi5;Oz3A?r@O>bVU~+B?8L5 z4_ACD9!^|SehlU;*&_P1f#!$bU!ps3lBQLZZoIWW?-6 zs`w!HTXJgT}pY`rt)5k3fVB?V*`SV~^b^=8y@%B$tnND`4}?f%j4!6gKlHR|Bd`*G$>Z>vFY0B~VKDRIgAwLF}3Bvw50N;=TO`Sw`NM?8NK@7MnC` zgRh2MSk$>9l>Lqe&8mRMNMH3D-?{U2@d*|$W3!z2I z`s*{fL*0JBQ|YvEjkgO>pnd;Ekt<0$>qbh_myx=Q4D%oiDP8UfiARF^e@x91X*>TZ z9Vz9{I2QKfUB>e`rPh6gh=((E|GhTl>a?kYIAI?!K7{1 zWb^J<%60zIXk%ijuc}<9B@O<;#B%17{^xfXH?RkE2X6m3Hu7g6E=(HQUy+SJUg7`s z%G`WjzD-cq7+Qa`+&K}02lsjFfcj}^xur~3tRh9m3N~t&1-N^Bc}1M#j>VryGe_g2f0t*1@ozxM%W8n~x#nKv-#tAE`+F8AIr6*VTVtemeX1wT9d}AD{CcIeyP+=VCs0f!UO?|uOxi@n z+`XqqIi(A(sxAtzlm-&fiPMk=h27q&2>Y4003AHK)MhEEUiPgxaXjBPs#Ulu6} zUx7JoJ|-78+#~XuELMQBitt>t?>FDdL~foeKcdfXLBZif`NIMWN+u}6XYD$eunq7f zH1*DND8vwh_$|sZ6xpXfv|iKdy7;FWaEblsS6nA1ZQ+8^MO?@VjH5Dm9*v`YN-TJM z!ZVw?s*oz_3%TMX9OQ>7=SK197GtgS)SljV9XfHTN`)jo&x*;wOU1F1%9vANYAqxt z7q_Izu7ugjpsg)lCMDr){F=5duZ(S}i9}V@@|cZJ7c_Rk=db%X9qK&hP${sbXyj!j zD7%(hsZ;pHVM-rfJ?$T>GOxa!rmPX$@(e#@avxl=-Z*(rW zz7c&qL|M*ybLT`OSJbpE%+UsSeX6U6lC4cp{vP8}A2(ipvVyU888P1ZOjZ#fc8)(W zoVK!>Ng&>tno8s=X9_F;>A<;2rJ!SAq$VaZnN5Ee6&;$ag8PUew$DI|s>|_F-%NCx zSlPql!(tQd3M=F!vGHZ1OxaL2l0qT6WNpSUO!yj?*jMSfhDGM@ve%^}e@|>k;*re z(LOs)rUR0Rdr`Nesk5MBnLodvU=gHCnK_b-%SmZTPh zb$f}Z6vbDHEFBpNU3~Jz)iT)RsyXuR>>2!^%v^!cm-hfKe?lWoUjOD z-o#t86M$ynjTy$9Ki5Z?kBJ5c2PsvnUJgrgeBK^Sd3n3c=ZckiiIRTQep4vEKKh8q z^&kd+GOBbKU>2y+C3p69Noklb3 z4`F2b9jbHYSf6}jK8uHHJW`5pPLko8=N8J}ZloHs4d8BjOMm8rx4wO8DG)J_4klwh-=(iWFeQrUf@v z1Rq-IPcP&xE>=L6<#Ku(4ira8(dA9lna$T|vR*HrNyAE7M~4vLuXsivYhXb*6^Dyz z^2(+pm?aGJBA@D{Rbx`P4)6Vyh|?J~$WYx#Z{)h!Ei$0;p^2B5mrBhh1^=XU^v@7p zJYD$$EOJ31Ay7a2^y`*?K^Ra10AwGjyTX#IZWe(`WqcZ*T}4LhvJ=wuOtv0(r*s5O zb%$twy(Jq{HTQZF({k4_FC=(3kw;(CSP(k#?eeJT=CHUuvEJ9Xtd4l4(PoO$yql3w z$i=_&yLlhf>GDQ84oB4F96q;3jwq=Rm6sbcwTw?nVm%vci9=p(EF%oD*0STwEy7Ur z^n5X8Q=1wdR*1=4{*yyMb;a4>MveNR@+<3~rk}-)D1!~i=%x4lKUzMz2iD)a>%FKF4Ud|hL6KfOe`HXzqMl&$V zi+ZbZwcdtI-k?Bz^<5@r=CY-y>nYyzwU)ZVLZklBugGCSGZjhca*6y_kN`gm>dCVC zFKYAZ3EceVDb3${i)tmHjFD=47e=5GoGJ&84z%x<*R^1# zZzkXP!j=2TYu)k-Qb`k8U8D0l1%0z3OAPr6vO5zUE4}P&zDI+eHC9J^{fPPUfoALW z6XLXWz1F}S#G`1~K6iikyjj^ zKBUE`(hrwz3otp?nf>iHc~$tvtP`+xcNlpfYdP`f<{l>Uu1q!FhM|6QA@9{<@`-gt ze7U^QcD)>(j!wPa{v;m?-w@mDfcIQbnPTbJN;wSNJ9jK;&TJ;rkX+lP!+)x=Ktn`K z!dB}ImW%%2(50muE+=Y)_=0>y$OMgGfEy`mWCa)p3)MN}^XBs_pQ{PTkYHEz>irSkmz`~rE^%iZOLK0j>7AXLckCMPF*Ki_ruygrSmF)}kVC#j>MX%Zuci4o=Z zQZlb+H$eFz7V-4dbEr_L6Zgycq4SwQG7AKcm6noG#4F5QUS8ha-NC@X5D*af`1s5l z4@P3vdETF8u-ZoB^Xe2OQMN+Y+edxMjdGf~yf`kD4U+f!sNi8O_-D-iW}B3hl;eW~ zsT`iyb=OU2XJ_qBugBBXCQ_-?ZX*UXVr?xgczF1?=W||T21+!AL#Nh{%^_mIfDYrd z4FQl#XxwY|45>mqM`u9hm;S+7!|$!F8oRCD{(d1@Sy_rmg&Jjg-@WlPUEfz{HMLX_ z2xNeW#wQwyVSlwV0*!=sbH3SCgGMn+k)&95WyONsr~SzZ{nnhgGKVKl^i%5>BNaI~ zrDm;GC-c|GzMn|@mu?@Lo=;0+uv)5i+@Jj5wxwoV0#d~ymuii(QicAWxDl14Ix zIHXFe7W4vEZi!ruTeu$V^eI7IKr1$@&05?K(b6Ce*R!>ww7w98whwFVj-`B~(OOyx z2=Dxau?d?iT`JBc%mR5(6RXa@uCAtbbG%5)#N>4Jt4u>fBRuV68SkeX7+BbJc1M%j z@$`NJdtu?=kBUH|=;PbwwoDwVGK3BmAT4MD=`2btLs1zL92^`J6p72(=P{{-hHdu-T1s5EE%E4VimOYd?4rA}s65{iCWGEI@#!NpA+Y;ls^Q{3`n?SNos! z>+2lYGRYN-wc6cgHaB%;Wo;LL>K~4&q(MziPEJjoG&--_*y;($m?)k*G_wdk%bNq8 zqx35R4&Xnc>I}7Jet7tx+aDYf60)_mRf{GiofbJvjVhV%`_Knc>11~v_?wfqR*%$OmKFfKH_5+PaspYaS<1B9Z}Kox~g7wy?QSz^OKqN z399(RU#V==;WZ0Ze{Dkl$6QUN-7-4h&YzWSA>Gv6a2eOB8ZCWrNMvQ zslS4n-x;ZzNtLqgf6J#vo$4Jp*raAw;S>wM8v<Q!X-Av`ZDMl)8NrQKa8NL8Z>}GFyVxinY+V z(hyB6=qH9QAYX{_q5hgHMJ_3Iox!tKv&!V*)Joq6nI_&vE4`r`O&H_Z!cCKYcHQ4N zg5qz^aUW%x#u7a`v5m`DGM^t3gIbO88Xw;9M4A~Nh**hDAVn5l^5oC#a#}$vQllE| z8v+|0WI9Yg?)Y**_zh(2=q*7$%-tZ1FEN-|GgO|>YH9ZT2H~I}$%_!b8P$pGH!d(? zU2JF~^84c(Z%O6i0ue|3Lncd=hs(v&|3P8rMk&_=Ex-t$PB|jV4!7sUqlyj|btLmQ zgagGT1#y|}5Aw$5J};0hf%}Kl`Awe_&_Mmu;lEhscgTOwJE0Dc{sBQy)A&q)x9?(Z zqkkBsyz9U4OZLhC@8JJJ2bG7Ws^ zVoD^A#IJN|OxTwMvQkj;pWgPI2puz(aa_ubeVbS_|w>lFUk)&3W{D69C`|M)d&!3`TE?8}V)bDZ(z2}T*D0DbtNwCdopw|_2{>PUAt zdaQwA4PyG&`OV%Y1=v>)%*|@1bMF^rHAZ?L-*-9S7@**$We@ZL3gv6%` zZCc!%S0&$+F13DJPE|vfveCw^Cl;DT-#T*iZn^Q{7kxQ?WhqNXp_{W@Icqz`R%M5k z7!CsxgmXEuG4uG+6ZxC}aU`^Qo-&}|S95`&73CA3WG$_}#{cA(8ewA1Y;s=egs7kC;Xn32XO^3fFW*7)}_tQN{&{NBITvgejHdL*p)@4$j= zvN*lzi0*W+Hb)Go87AFSZG_0_Jq_0>)0$&Scs$!yYrp}|?79yndUv*3w@)4Wv`+m3sc~xqu-deeT>v=dfcPRT2x+ox4B!X&I#55j%n4%G${8V^P~QC zq~a&Urdxswt&Le6n!!V4vu&9`5xi$e%7Rb)j5ejgafr9&wi4~p_#%l5kO>Cnll?kK z$s;#Pdnj_SJX+odw4mCC*c!;)TG!PVT5@&y4(hv@850ODZiC$_@phn`m=@rJo?gYt zLF?YK+OWxi$TE&@WR)D}4FDdD*VLQ^c~VEsog`|D*bEON>tBJRTXh7-Q%p3p^c;-`7p|S-9tdz3a6+>F0qGJN=Z||0 zJQ_19O6F*bx|=O(_cHQ<9PDdBG9CQnc;NOEp;o7qF4GWHR4i99ZCLl+iA*vtoKW)I zaArd5MO1Ck-IS$8#!w~jm-n`$mq{F!rSVAtRCAR|ryPf;|W_ZY=Cl4ievtdQ%%Fk)>(-vwa63FTF$+nNFNyU2{kf~{ z>*eur_PjL=KfcYx9~(I53v>MCriH7yFJ)zihZUCMSmXhw6w3Uh)SNf^@+ymY8u#-E`@@MmfL7e( zjOhtSEU{h7mh04AY9!vwRH7Es{G+WL(=r}u3{#QR`tzkUnBCA~kk?5ikg$lo7P`gv{b}8{rS`Gn&TaFH9@+wCC>#OwvH{ET$t;J0$%M*59m`jao>&oeI>QXJITGSA zF{~*3zA{Og*mS8?o1EO(-Xk3!PY&^{(qM+;k=M~zrmCCNcpA~6yxUeWGU~v~nk?7W z^$Pk&9CE7Gj>GG@+brz{udaQ17>l_u$%+-l^E^FUP(Mx3y_d%xR>Ze zDBlAhE8e48^<#mxHR-tn+3`NW`XyIrC@8Zga%RAA=eBrNkwAoHXI|hTFMRWv2l=-U z?3hoLH+_hB%N4ufPlgo*AqJxc`hw-^_BPx7ybs%%!hD07jrYB_6y{W+;`d~o>>`LP zxyjtm4=qV)g)GDOQ}fQ_#n@!9_ihGCv?7OeQkG|=+zT6SwRsWgiF-WH3f>PjMUi7m zCBadP?j+Ag2g_abv;)h}Y9XGnx3iu00Q_w^hVjbNT(Dk%l!VPjyZr4t!v&UYHi1D3 z>uP9M;6++CX>vD9d_eoTNR^22adzwMKE3Q*TLb!Ngbg+XB^ z0?l-_IbQtpoMU{3mFs>}R6PYM>@9syBJyR0r>MkOL|y~nv*lUKu-7%lcyCQ=qx(YV zpJQ0o*?c2LbAbW+8kYIn44Gk!5Xt)UW?iW{X`b_)fMKz&IRv~y@T`_*?Bijl;61wG zz#RQaCY^^^2QSG}K@+l4F$}#}#&DIU8QE|F4@KFatTNdroPKKNvU8}kk~pp9e{NLn zbf@oPplL|LGoAbRNyD0mwcGWG=>4^h#t0V6*$Xt(udx{;lLFA!aXJxezSJ*YG~$)W zE1rq?$@C--OjTvdUOgJ96%@YGz^L`clLAPYwHWs|ZdTwmXGF~Kj&n9~e!BSO%z7E= z%$vC*xkGQI9J&8&il#4|E!nE43VY?C)t=lb02ZaCI#<-tmkJwMCvhw-z9; z8^yO7!|GygCKC+T_!hEXn1o)#=x?3Xu1vQmf)uO6<|CYDyk3lOO!)j{Bm{3RRj9OR z)(<{d^e@QanJXOTW$!c0Cu#>ve;!9i0+(=ODgzpn|5t_4-4O7H_8ebWI)P2Rvj^Q- z)EBxc#dY|=8uz{v6&%QfuM|nm=3<^L{Yd+&$Kua0fp$@^@UIB?NW=iBUk~QQAjtsN zS6B0M-&|3G#CW&@#1S_3gPF5)-Po)VHEHZ-?61@@+)WQS2^4hDZ3hpvwK+vrwBE8I zxieu~MONU~7(!JkLsBHz8ERAld+h8Tp3U!`N{H`eo;Sc#qzsTPwOou6(RPL1C zd@L4HL~;3+`rwnrXpd4ZEW+!N#Frk{%ELkkOEwU0k}!i_WAWt{j6>KNvkoG3o;Agdi)_f_zfNdf3JSj zsI`A%6?o($D5KV+8=CW?pv2{6o#?&S<`hgGsQeB}o;iXrS;Snfy#%gY`*sDOTWweK z!(3A8<%MXg{3srfz|#0t%5O&d1FFm*CaLjoohpb^owzbBVX4ewRJ9-mvh-WVESBM= zK_x3wx^O|-{namEbJ+vi612ynNKn6WS_ck@py{5qxJj^0t>w7r`k)aw_)pnIuiE<( zA|;n+wq5rh2i$aR+q4dnCmsp9#GvX+-P%h2T0WhkEb|X-QCe*U8Sh&f=u#sGQ#&X; z2*UZCsE=hGwnxKyqZI2qUT92T^X0sfb=02%7-$|5y02nUgX#V$ZN(^ z9ceGD1e%5v%+2kzX($Vyj*4RL?+r+F z3+I21pQ?G<@jfVyfs|d5Q;H@3JojX(t88efNVC;yqbpg{FtI;WUC#ffgUMpZA^wC1M@B4MwBL?>-HtrN(1hdf`L%|X>^D@v_` zEfQRAaY)sMuRLj6o2lBuYB`aDlTg9)J||n(gQWkTFw|$~Qb|t-;+oUPOx8s;QVdvj zCOC*yt5J+jos8Logz@-rUSgs%;%GQEM(fCS=zRk{CX2i*?AtR`m`p=t8v5()6!82d z8hx3caK>)aJ&n@mYBfVq@GXrVG<)net|c*6VvN?|ezxUcHYTVA54}Erw-_g-5Hgns zZ;Y(*U5uwGH0NK8aQ?<|;<*QshzsW?SE;t9ZLKpLS6XEV1$*Dp<1!=+ zN0SZp!x*AR9Y)w&2L<*KfIJc?hUmSACK=;gw~WoquL)1Ltn^U1SYscW$w#OFm}r@c zp1{9Kw2-OHgr1o@7F$l{9L#1bGwms&N5bhm*X-Xanq&suUvkQHE4C^ue;(oU08v5? zoJZR4PTazRbflgS*vPL@sxXzQ-sajR0LGoa=7^0IDd!^ zd^aA9vJf%%NdlM6Z2V?+Yr@p{J{QFmh5x(2BWtmJL}3qjz`Ug&`HF${_AhZ8=G`1!)eC) z(nYw)9>zofYL>fxz0*6-HNasCm2^kd@tpr+nNrPH8K1L5`V^Q~jEC!@N7{D1BL&ux zHs!mk^%+nDD+Mi%{?tyV$iJ5r06*p8TGG=XootWMHhB~CYMie%dV42Y6KZ%&oTxmk z$-7fHZM-HRCtGn3^=BtxhiRxf?4JJYE&EeHA^79dn<6q*MYMdJ0UNAv^%g}wMMW)Z zIteqT8GpyxsiV;_-y6BtAL#BCC^9k}7mxjo7WZ4LmVnsuGtaHez)Lxoqg13-Y4e`> zuD8qH<%r}QyO$dZFOPp|!+*~5?oW&mnhk$9;zIzaM!B^9CtAn zfn=Xuqh)--avm0NmsUJ{!s)sj6 zMp*QFk+gp<$OqHQZ4>bu26^{bPk;*VcSpPBnz2&+%G}$0NZC-Q03{{T3kCIE7FU29 zd}3NDQ##{c@A(%w7vI!E-fYL66tF78r-*;x3o6&JO*EvY!CH~Oy_KS_VBEx}e;2uZeUGzfv3<+YHRY(a!=qFc9|u+`}Pt(3d5T$ z@iu%F;#X(a{}_z42;a?nB4@eHM}U8%^%$D74u}1zC;(oM&|Ir)mK&)}G*(q%6M%K< zX4J!f$QniVkX;UkyNJp?#pv>xg9#m@;Cyy z0WK{(!^qwRiGJkG(WOePROvw742sHPn#s-ZMhN+@sn%`oiGkfkTou|DhN}eKG}pC| zGCW$>Ik`l07>E~6oP0XTtF=XQed6W!v?kj|S?8HQi0V*K7KQt1&dJL;!$C+ox*j{d zZe<4;(*%6fJenmG`Ih^#>YPShLwy9Mjb2bM=K$ZS>Kze3SRp;e!ajvS9BlCa+5D~NnW?p3o zp`i9qzWSs5?{L>d=8%m~^;+1H@o2ok;@DEVVT{+ap5z<#iWV{nxas*P3v;aUjdnV@ z{l5d~{*ODDD@;+*e6U*G5sUidZ+C_1b#ydhKAiC37Z-I@v)A4L2u@Jls||~eN#E7Q z>u*OM7E3Ow0``BZd+ZkC2a|9A_UjI%e_{B_j9o)z8`ShBZ$h@&aTUt^=KQs0e0nDJaFhiYl zem%~zn66m)w9y(I*Kz#V|7xR6mxaPL*RwL?xON5$E{dv}Q?xScjcWtxtz=Bi!!>St zznpUG*%%_TOQyP-Z}-$Si1;VdaX4M@nyN}Q5G6$Wd~T8*Koraz+Vw}>n4GGc`6e5d zaozPSHjVyo^m5UxO$V+{?KLWT(;xkdf#^V)zo%&1iL;anDWNu#qgLW-6h%8;=~4wB z2}I9ztUM#!aC1s5>083*&?&+qp2mwuKftc7SA!3PBd$3_V|Z#TTcXCe#04Pg}qFIEMp;awe_gSe-H9cWm0dnTfzZjW^H`8 zcG|I|cEhPMcCGEX_rvfzNdJMY4M=K7YBHd%LZ=|#7XLh1UCX{cQQR$O>xHNZGh3~Y zu)40((b(vdY7f}(B|1N41$q-|O9!ZY;KmXos32utKhJn2SZyNN<2S2F+sGw|uwL#T z^wm%>)sWB-H8A09yIC z?%T=*J@kWrIMEj7w^bB}xM-MKras;wOdhXy|MUMCBj7#L@eBQnQK7q!)t~<{8RuSha zoaw65LEC3TD-nbr3kufx+o|BoA4vG0&W9K1E}&UT7ywsi$lL}6$1SMYCuUYj32~}C z2?MD}NOyWar(jhkuG3t5fM}Tw{U|(Kt(PX8t|JcoWzGGTiJONlFYY>QD(;Rm$*z9F zY?lp$-jK)z*g7k{-1yC`;rC_RS2EoE=|8$-2n7qa_ixAWIn{ikOb)?Z2wh1Hbk_7eRkCZrk|*?m{cV{|11?mI$W!#&=Qw z-3&0@WLLpc75Qz8#`%3>L({`hTCES+*70J1`65Bs4tM(E{aoa)CT@zlofdSbDjUey zP<3^(1xXrZQ_KaVv#VLJof{#-l7D-X73%|kn+}c>Q?t_@iZuo%W4uVo%yI1yS=N!&E`!mYds`+DsaxPiIm?rGJ-TiIo}5-6A;AmmlDIgFj640NzEM zPzehJj}eWvyKx1gtmKcc_;eO>xlFOp!i+rp_zn(}lp=Al<;W0A);;y%Y7&8T4QzXrRAX~T3tY0G1BJq8Wh|n3Z7I4)n*{hjq>a`eSg)}zuUBBO zsjAR}Sm@0=&(_W~hg1GZv<03l-kF#1+q&CbiR#D1Z!^@4x0E47jxlH!1!M|eZ zGUg!-{wwKMTXwWYlkJ4NtY@?(t_##lqqLb)2II{U&|ku3Atf1Bi66%AK?~q1q-+tM zT^9cj>|eXC<*U^0^>qzVgVG%~mB_}o#Ua8oYQ0yYaMIF`x4XyQT$eyt?|45CgQ|^QGevVsDo&7M|<7A89hNd z9a#xC>f9B6^5V~G-LaDx2MYP zlfVnrkS@od)jQI>*~PY^mwKSBpN`7M!6^DC_t%}#@LHaO&9Sv>CRg0mRa;+BTjms_ zv?5Ne=XfM{O`iOzv#lp9sPqznf0MnV`@);Kbx)WBC zjqN(`sA->e%foFjs|LG@t`eCm{q^z2vCisB^zoQ(7R}=y>XTClsw)BdaG(|=$Wwo~ zys45^E<5&VqI+&Q_{E<}w)D2U{I6__qf(-WVtk6#kw?R&cE_ASkuUWryYcM&UgP7H z56O~nz*e@MO@@`Ntec3Ij?Ml&_DpmMmQhImsK+iujprKsdba*>2zk6^IcZs))7_b} zsJYwBxW)Eba8j>r?^0!kFp@BfmqROIo`PCDsZQcR6Q>l1Ziw)u{>6+fr={Y-v)MQ- z)$FgY^bt#5Y^{3b*&TU;*N*FEqpdZGyi5R`zGZH9bgQ*lDVzvDuj#kr?!^d-`AzJ7 z7gEgYQ{d0H0smQMX~=BLsVG6J++#3K=N_VEh)IEo8d2Rba%XP_fdC{UQ#h$;eBB56 zQe3R~c5}X%n*Psh^Zyh8DmswUk8@U%_;@{ik!Tf!!yNQQFu+&X?a}gdaeJR!sHi1o zV8BO?U*oRQFfT@cS5A~yJ&w@8T;Zkx^o(3IlT3z^FJfBA8!xSX!2_a}NYA)BKUxDM zBnkP$&gH5>W_ChwX!TH>Of{^&=_zn=-wrH7A*Kyl@={yUNQbUgX{@LfHrE?(NBu@) zgDDcRy?u1S6B}L8R;-p^`B>O#Qaq(jOcmZv)spweA~`80)mnjjqyNZV#oIIl2O_5E zPO%m@*JaVZP7Mc_^%09a6pup9M72QT6Y{I;&rLlQ!hrC25aCk-Ln8!tk~)^Sp0?c+ zn0;UyLPWu{qyE!suS+AVLl5Gs8SA>fOkueR!2KPX33jD2g>}WxHB@cO%N?9iL(SbAekD4fwyX3oN25k zS$;3_SfC1uOjLE73V+z#H%a~`lj>Iz-rX17XGcOlaaitlo2G%S9@BQNx_S_c)nN_j z9D_~QPmCc;G(a@{e!Z^hiWi3eYxb12m$X&~29Q%J$X3B-VqJ+*ur0^YgiCGZcd7o0 zT(6cG>lKYN?4ZowMOHP?zLIp?2fK+}!5=MYHf9Sh`_Q;3?K_K;3>q!L6`-@m!BT&Zzp%TL%w7lAH#@~E3l z3n{dIy4mS$B0j)RYB@aY-$w*W|2zz8QSnXKWL+5IqQ6wOlkjtGrT*X471WmE2lW{_ zLc}x?FWIV?4K2UuZ$vcW_g{V#w)0-vWEZ;usg=!o+uNuYTlFXZ%oV*m;Z3sAyqV z*=!ebt}bCtTQ`{tRV^DhcvpU$uXx#d42HsfiK@^&gB+9S;U~L4W%S|Z9T;kKoE@aq z!0OYyc9kSs4OdI8Sl#ogBYGbUcvx z4lx4S(=F$^Hj5~k_o$t>*#4VH+TqBAroz?j+~4U^$Z8fFA}jf@&7E>R6hipyo=1&d z!%M!@>?j4y5|=|q9n71bIgFsUs%D!2JF^i@x{)60m;5rD^G=hvL<^NPMqAXKo%TJs zzbzpj8}Uss-TzLtwN9K}lW-B9mH72mCPGa+#OWzQnPr3kx!M>9HzI`mnA+W4;aK>yP!$_+ z3G8rdcF13dG&XFHW-W*(-Fo%$PVru<3R+c8EhJCIc?{^g*?8#KEJ-c0O$HlH=HImditdln`ApMllhatmzFC6 zPK#{AHpOuULZ^{y^ermD;>Y{FO5`#HooC-sxpBK&8J8nHR@06p+vyQGI?vdUF`qPn z0NxKcY0hdTYaQI`2uxEwLt0NyUXK18K56(#_q9C}mX1Ah0wdV=Da=sy{jED{iXABg zNliW0QJhoHj);Q-LUF5iT!0Wns|=M>-WS8|Gq-Va=x5_3A0ijC$vi!tmms(I%HIal zJ8sW#VH9|Wm79U8obD=q$BM&-Gil>{l;r!`vab`Ea?UQdNS4%8WH*7S_+D zwUKs(c3&Fs#}hw`PyVp6E!n%Zk?+=@G`n3Xhxra@o3)aWy!hZ^*zHE0ETOO8(B_Lxly_jQsVfGV~K{maJ@i+G_1C!?aB^^!K(J9)x)=s_WE%B-~R>Y2F&Azz=@A{Fn$;t?$~h zP`LnM%%{~~Z(HAGPLN*-2BTS5znj=htFDEzf*~5#cfn5-n7q6F{O1?iADS)9=i_dtulMDQL`KHedM_!_>pL38=SYuBNl2Nb8og0J7)~o+v_tj>A)U-|Lf8B?GFU8KH1Kpth-7swk ziCn|Gsr}w$%`v4s3^{o@p_6 zLg(+iIMKS+l-PYrz#fEwV&0=d=65c)5mD^(Q;-2~c2%vm~U5;j^l05-SDg=YlP(c(rCtYAVxo9dES=UTpID$Q`)?6RYa!!S;S}mrU zh}SPl#g7AAlLUitd}|&BQqc-9rszVs+*pSBIM$vUk_&FLj_8sd-NCOEBg?PPRW_8` zP`uJ9m}xu@!oDU-VFeS@#-lzDWS*?5EDER6ReX;6fFn<5pr|ZSv5(gQTQJ&v;@lD5 zZr54bG`8o$!9Y4&p`(Ipa)J1zisDBD4LTsi5&G-hCqt8Ra-pb|(EcY*RDS-LZKF(< z7}69k@mU>(BEgdkVMBB>;hO7VM*slMhv#*;cUTR`etpA=iSJgn=d_*{bVGyIboo7P zqaQ+;py6w#V>5=36^H$eS8Y7Ul2pCb44UrZ=?**P{RAmJ@7#P=49|%fVwS#@TC9W8 z*A}jTKwernLwnh+%2>8GDORPjBOJz_h1>`Y|2i0k*o`^z*;c>aq*8k2l)29(_69!k z<{vLsWWyltGOCaO?AXeuX;V+qssh+7DPrBNH6#KZE|2%)W_gc9y_5YlUw(an+R6_1 zm`+7!Bh*SWH<2R16wbOM}fWmovy0bNB>QHBggj6#TI0 znOPX3Bbpk9WpMADynm~IWf-ab$~uP;fEs=wnW0hUYQ+0%Z=D%z0{!*cdZwXJv0$0% zPkDIKDL?3i+N4A1I=_3*H*pV4Agc%BHB3~alWP&lBhPCT`m%tODY-uS!}sZ1-w5o7 zTx+rn@^7~vkr3G6C`f<9YF^F9D-@&t5pb&U-auEw+bR}aC;KFrJ)T5m%sMdm+LKIY z63$JGyTcn#c~`8C^j$2cmOf~>bw)ktmDatPBl0s&jh0HJIJC9EdeA2!Tt;Wh=#av< z*+WRtno^mWyS~VZTNQG;%O8lfOfoS~lPblGGNy+L*iela+(jfFqGupRIhL-_HvF_6 z*TN&3qBoSsx#!y^op(Jo=yQDEn4M>KJLc$kL%ZGLLN|2@hB?(^`5kQPz#i(QMvLmK zL2%O^c^AMep+#rCxLo^(#8jDVH%(^HKn(<{-dP1cZ`PRnNSw%3ZLQm!JX-?6cjhz2 z$fnqx{2I(6K*fVzOw}pXP}Xz^4uXM)4lbB5G%U_W!dJgJafw5$B2k)OYec;&U2?{} zCUd|qDmy-5xl`F(ht81@un~=)%mV!0{2wgTHe?>g3!bt zW4hjT8~zQ6va4B}pspeD%$Kgx;GWN#zjRzT=#$2NT>d#33#52A_Ea8R`7n^h=I!pX zOSm8N>cyLJJUX2nNbdh`!dPwPpqd7*3mq@H;Vgn46X~+Pf@)cn;WX{_q-3D=!(13$ zt!r#Of|8c^c_r>chYv{nNalfwf+%SU(#d#?v`TzLohO=6l*hJf)uk2+-6{8#T}$0l z5$?N=VVo$Q?s6z_i84fr1P`~cFFxJnV-s!dJruQJIDQJs3}M=pYMwJO<~kq0D1u=L zsq4HX6eyQ;{AAhEM*Dm`@q)0lR_ac6mvu_5#?>_k$-lzWl}7iFi9*Z!gqjE&m`Bl(G8 zy8c?=n(fc$(G4p2MRY_s)ek3y$%#WwQE=FE>dmx7_3Cv9FO#9We+0kb+~D*WbREIe z{t8h?lUxI`m0%rAw zLNPiyQ5QZfW}&GfHuq%r3jJZLea^wvE(ZIf zf}5(_gJj`P-~JP^V4Psb%Xny%gX{VLyZsv&!8h>tt`ay^3rR2rW6vnlJ zDh)rTKEUTD3{O)trRnU6deW97%ol&afGDUO`3q@?Q5CJ)y8Ch5UQ8K>JeCc)#dXiw zu=cPY)j|G~MA<|$_n9r>Rj5r~SqfSWS(af8yu&)4DJi}6=dHH z#d~_5FLK!IVC;1|J^bQf4f_mkFHx$%(~iIyK@u&?E|5)F{y4z?ZC<)T^Roo(xv)AL zR49=V4g$Kkk4)Xv1Z;Ng{I-Et=VbfFuufx20wc5ghBFtk`6V9cY+STe3!23$zNCI5 zU~ipHz)8nzuh_WJ4YSaWee-pG2auc(rL^%Dp^*@g6|NNg@mpT%!$))|sLxPPc>ZC$ zRe*)8yN!2HZ`<1&B;?Hvotzzv9qAbv{=L5OA_av= zf|59SbNkHE1&Q;>Y=K{n+RbcHLhSI8p}|D^o>yFIpX@XK>{w%1Bs*jS4+ZrHR~o|? zAyrVmZ*F=DGfZU2=I=%SNkdFQNR^}!B>DgaWk#)H%>FhwP!n>{GJ(2GP*A@Lh4`^q zunl;jpuWec>{9?RKEp}=G=876#Q>noA~C5}KPa`()OMy5lW{xtpZm&${ci^jQ_&o( zR3!Pf=Nt+9W*@oDUY-re6Lcu+X+6%cA^#Pz#-Ag}uOT4;i#7lk1{cu9Bo=i%zW_3BRF znUz%r@dRLPC=?PJciOY;di;1Q2bbIRI0uB`Y(%W!j)T3-1!{*~+~6qdk+)#r5WF%B zLJBI1S`NcPP!$*_Z%-SnH`k75a#9xG-hwjoHaLJdVMZat5Bi*_mui2WR0eZ|dd%{Ef+x#x)J98sFL02&)v`sjn~h1I+oU*sJlK7NBzg1oq-(@d0@jvhcEhne+2*Rt-H#!h)fbq= z8Uar&SaNtR1dsX2jfG=vD>$6fkngcRy#?mJ6t|fX;#BC2);8>(W39S9dCY+tW_4PDWTG6B@Tw%>x*eiJJsSX1usBlCJ)39ohIz0>NS zl5EQ!LsTsBOSAkrol!M$cEZ~Nvx-EwnUny-ghujVu71pco#J8e?_EokR=JS~*xnp; zsJTduz7yF=UbJPn?SgN{unZ$3gEpbOoW0;g= zWoJAZK~pR-^cy&{I>q#+Am^dUmBO+mh{D3bF){ct(OIX1FIU?GN_ZRX%7d>yzWKvL zf5g=&jgVHRbTLxSdJU>|<4Z)f(|_)07J36eIX;zhjwA($VC}i|mgn9vzjJf39mk*4 zh>|g4Zs)L=8T=#019XXP(vD-Zv9{)%?wjP`ck%ju7gvLn=$tUe;OOLPGNiVa%I(UK z)Lt{?hS|I?=$V)uM^_|zY0;~Ed_t_D+~P88ap=?fb0_mu5E;U?s#xHXvmCI8PLbYp zvuyUq1N@*>jM`Moh*4<6=e%>0EuxD<<|fkPZ0A(luv1g4!kadrv)NleXLW22bRZ&b z&+Z7}Yq^q`?`D$H6Z685_&QbPbi7AyE`;0Nx_8Y!+^~MX_8#0RRd~%Fcl5)fjiS?j zGSnJV!g!>ToH1?Gq?#-wrni)Spj!PJ{Vi4DLAm9mhR2pM$dEiNfzaIeHRg!|WqK-r zd_Yzbu*ub&HZvmhJ3Su3m-2S4xfe!H)+#|svBFYBWllTl?!Nc^r{N@e?!&QdlHKl8 zUMfl@mEF(5(g6|s)e6k^wq=fd7weZb?o27a;U@>Y$6b z2&^)0G;+>L!L6pQb*8AG!pK~W5)W%JMjRt9Y?XjxIFjEZ{llEy{=_T|E9%{klKq3~ zvK?5(m{u)HI{wq*?{4HnM$32ix0ZsZsE++hd!eBBugh42NK|h+Pwmt7;crZ1Zl!_8>g$;H6v1a%d-p*mj`g(n7+L zd@kxC3Wg(lLsg^cDZw02cTREFu5mf|OdJ@QDNUmk66We?UwW;xad7;0%b?5Le3%kb znqWf;OsO?!QjnMoBnUt~U#4A76jfHIk};C67}S2~J+oDmKCl%Y{kd|g&S@}D(5=-} z)axEf;`Of6v5}42Anbef#i?mI%j#Jui#HKFhf{XlZMJZ9>@( zb8ctbaflc5R-^(#$EcZ_Q2nxXF}d}3L22^UPRUN@rtK37QY36P(le86Of|@GcSgz= z0t|c%`=AixBzoP%q`|+I$6xcqiS;xG){(>-N> zbDUlMJksuW;MYn!KfWoLiLwwsKIMWlCF65A!|$VlKi@t1t9yKSfcEjoPVQxXX>H(2%lj<6#}+irjgf!x#58j%{$sac#=X+TzWAaohP( zNWb=++Z3z=BjTQQ>-xp%l7`;{2d=%O64=c--g~fX`6T7-smL5?67 z8ruB|mphhOJZ_tNV^Y#TrWkI&Zp@0NDrGc!h4E3EB^KxT@=xBZ+4=T6S;s2GDA*tvtzP-Iqk97JsM=zkmxd@Ho9+Ch$=~ zl$E~5X|v52r`~#xf*keUj+hRzcr7(PxN$Ag>7J&MSC%9aLAhPE#Zv5%TaphXbu^1@ ztletvgWr$K%2m9$%QUX{Ozby3?RRy@Ql0yW3g0leot8;@+P3Tp=T85T$#??N?C--}4aG3}LHXbKh=`Q`cn4+#|j(X01oX4@& zx8z3FXm<~8t)nbD-fHmtZA}{@Gdk~a~#a$%(qaKwXzL`h+Y<|BsGkRU-F$t#P-#dNb{>1<3sh1&QD0C6^JC8MSH{@AaF- z-|^wi*9dRX|Jz`}X2NE{h*Il$!o~SgeiZokr`FEs!EEe1B%IPC^0(%~TQfc}k+S8j z#@d!`CT$K#-STE^L%ll3dNTndGaiuLv=(UJ-2nj}-!mi8EyWb)b6s{y{lK5=v?g(U#1;jw4z}KOC3Gx}N%u9Cc$Zvk;S9i!$v0$i4 zv;$+2cX$u9nI?-YZeF2gfr!sBGFviozXx&?`?qHOumr|*<8uXk`oPM7L3D2%FUHu} z07+fBCP@!>HIENoYtpz%W{aN|kF_=z(HQXw1@dHL)+w8>u{bT1r7?FVXN1sCW#DDxA$DamWp+riiPJ&q4RA#0Mu9^T95~L2f^JW%m!`)7LL-RtI-~l5f-bIO2L_MWm z_S3;{EE{-jUYU^yo*IKCIV?{I5pCi@(8|)Vsd9YW!Q8)2GRU-c=83aGgwd;*gXMI8 z)f~P7pdE`ELzm=`FMcVLATUN}=8~(oVW1s%;YqZ?L^3gbTh11-C|hu!Ml(H8v>=8hkJo`~DLuOEZ=Zb-u{leo*FA1Eu;=K=W-$msHqO zFwY!X5qocD5!*p5E%qzLb%uZ(%U)6oON;YN?`&HkeZ4->f^(7d{$UE%{@lB|q;f-g zamrS?0J(t%*88N%c#_&fYiggT+~Qv^3lh1oEV&mR>mznJ?xio|X}<}FleC&6Pr*!s zyIX!=EgnAwcuAzveA%81M7=s^9UM6&obqtN_!M=M*YI3&Obz$?I~jv^I9m>SvX5Js z+Ubreg3)3gx`gNwwzEm<7kkvRGR`e6K9^kN4ND`Sgr}%%PJBA!p)cBJW!Rw0^a^|% zuS)JV8W#7I=8@KZ*SI03pBBP0oHrEpqn^`?DJAfvK%=s@fquU%wwc*lN2pNJU65uj zz@#=0crwL;_0XME>#*0SlFK)(6ZSr|SZ-7-zeEWr8@oA?^?_>Kl8pe zCZetwFWtnb;g*pkAMb#4L?*f7fX)sKQ$=WndY_+O2_f15f_Xy+OEe>k*@Ej8*zUoc ziWV`SZEBQasPI^eGJxJqCsA@_+=#sS_@RdQbTO)c6CY4-eJ96qi#N)eZL?xjAf<7; zADYi1^N>NV0&g^eeCgR7L+X}uEHLW~%_N}&lzg#X3Suc8mA8_?fVA?!MSK5z;22cy zlx_vwcQkAco9l*^bR&hf3e#0iucl;vRQk!-WUeIr?Y@@6MQHKncQeKU^U@g-B97>X z^x$st2$!pSZ3*b@dU8`Xk@URZDfwbX(@F0$6|~W}?FMEtrora3Ru1-M^^IKo-+G-` z1ulHX*{ccudKR;+QuV36@#0I#Meaa4w^&!p z4P$w6l4@TE3c}iGo`I~7fAprq z;yrT4eVTH(`I%Vl^#{#e!5609Wa*o39LeYu;&!>!in5=OnFJHnQKOOZ+i%=vz;|Bl zVNY@rMUCLOvcdRxf2k}z;=0?t$4#gEulw9ncZ6o!S}eJ&3IGdR^*o-iCzlCP#6^ej zE;_}p%p!3+$I%*@@EK7#h^-Bn;p&(jl@bwUND9!HP!l^S$i&7}<dva5|0eghktTCoo~kyURFr)A z7HVf`YhR?td0w2ilAxF<{F_>UVvYKg^A`monK*@A*mk&rx$q|AXu6)w8G4eN0Fm&> zG^3FsZh%aN29Q85tx7=_mUHiHv`ljXl13guGpvkk3LDT)80t%ASw$ccO;a8*Q~wY% zW1Hua!+Ks>T&BKr=XFFPC;u7SYC8wT#s%5cKC!h!cQkmzU8>i2C@|UNbztMqOI`&R zqx=aQDlafY&LZQ`bXPlDYOvPJALc#Xi{h!)Jb754+d6Xx?`8HyR>p5-qFzK&<6AQjexyGyujW!H#Tg+S*V*@%D@R3+eOTPi) z{oVahgx1kr|5*H4y@V^!MA{@|G(JDePJcuyj*)Yps_8fTnV!+e+9A1n{O=F@1L^aU zsy_LzUG2Ph3%Hn+9>kcA&+Z$~n(kg31G_w3D`W@MdWR!bc3Z~{mEX`q<5K5itZ=5Q z=#062szPN_bsbOZWPP;jB_aj8;xuc{4LuqY1;=Z%*WXV!&@tD2&VT4sp#)0Y4ln1@ z$RTdBmqVgh!$)=NSZb@3Y0fUVROX{Tgk)41P#qQUTGQa$6qTb^u5_4}fM6)yVm;UsK z3)wI6c9H{kE?2u>)kwS>C7n7&+$pNcU2NtY_i<1(EZN3z5^5ZT3E}J31sug|FC$}~ z!ylk$5At@T8c2iNlhRv?amboxW@{0D44^xE`m_@bQB{5hEK?$)3eCs^=HTQ44Wov9^8Oq3VZP!PCIotZaL zQSBF^H`E=^k3*nR=tv6zb5g=x5RU!(8Vt9coSNM`1VKLq(XW+EUz*OdM|9%$=28<`e5eBzg(P*OLR#g48 zseJzZrdKGukjUnaby!i^O#K*;_TO%$Q`|NO;PV^S`6-@{?)k~9`@gN&L}385l$j_- zJH`<6uellJwX$4h6E1kJ@}L^OAPrWrShtYPT#GVl*83Nm!9U5N{wc(qi{^TEQ7;*i z7>R5uej1InzV>zx;;iN6lMOyB$*8iCGqGV_q`HpTJiGs@WvhuA*sZPWMYCpQ6VA$ov0f1iyb;W_Ge^bfhw5Co_fHICClKKZj@2B>L0|b zogPO|KljbSFa>Vt;ksX?YC>rIq~)=yQ+#2}DT=w;KGUN=+3PwRUYNq|-Q_S6BWRH7 z^T^m){Mc!Xo{*Y8p5V1224!MXJSJYuW5v(DNIfHC4!csqe$6cMKv6%(IC!3;o_1BX<1Uyhn1Jk=d-`RZU`lM>o86f) zliHWtxZa__i@U=+Ppszs)k>2*&?S5a*@%y^n1zZVq@h=51P2Pg3dI7O*Q8L(fz{No zNMdU~e`U4X9WqCqPa5moOeP3Oe1+Ne9aU0ST}z+OE0RYv#;aHScFL$j?5iSH||2D43WVPI)X%hpP@ zs>+V9jN_TTp*kpW)PGVXF<*E(UrZFpu<_9QT`Nit)@LMRCOlG|7^O>88t=ptHx@bB zuv9QBPJVJ53BCmp!Wptgm{p1Cw)XWktr21pv+^pd687v2BvG+(nK9<+jtR2h2&Ltb zU6jyFSnUU>vmwjZatqUYefqG5?qwyKB)-c> zKG@qR9}-uhdQ~S6-3~rh0_n{Y!crWhmE0zoL-^}o{Z96oi{Z5oaWUv57)(ifOIp!u3r*|2dj z0h1Q_eMkE`bT=J}PcT%+u}q#*i$yyCYv_ z1^b+%X^bA)iZbdTXy1)+!>P)TbRK_6#ABLd<$*DQ<6>NM?E~3}+L~@lX z3=rKiV>FqD%%Fgl2O9kXx4k_BDtZMvUZqR*u{F9P!mbv#KXQAW4(aW&3Zm=triiOP zJ5@iUwek{_c7DqL7A?UG^udESdZjx4i>vQa6mr8W#@JE7l4O0*a(EP2Zda7!?C1dj zLy-ZQS@v>)Ce$$>GRJ}=4bm36rezH=(_2*J>&y82hk`|1Vb(k!Zl40e4(HIE8SK2U z!PlME#-%l$y0qO)L~C&0u!~Mf@t^xR-q4{Nwaz02U-MTYW`AFu<*6;Y9g=w$F}RQ zX+)uW=WU~21}09so%TDKBn9k2O7BRq97EL*JM-_pkwPZc++s1`h7x1yw5%gK9bS#E zbPjVUtM=ipcEO4dMuqfT-8EcQ%zt$ps`pxHBzZkv_lRoa=#J-p{5`V9e`aX}D6ChJ(IZc$OE zo(AIT1E*`ZPpN`_rvTL$NxQ*WnkW4@Dd)VCOD`Uj7wTi}rS?6&f8sXpUb(X4> z?=PpE>r{6Oj*>wEfl_cv<9oA3>PC_H^!Vz3hTz3o@UcO`r5dM&r_9sc@1^~IU1NzHm7{YXOxO%#uGzm?LV-tw@*>E zU8xlfB&e>ix@*vJk4$+SJ4*PKsV;3)OAkx2rZWxsH|vv-MH}RkURcnhZ%LTNBgQM}Az6s-Nvm$yuAT3)pRTvi2+gVxEnK7ox`1 zx}({c{v4-EecUUnUAwN*d-0jEc_R4^gf#1ZKJjj|OT+QIX{aOYTjh}G)0%b^f32AF z?zLrKKZVPbp0dF;d(6G!uWgL0ZM)cV)#qKcl{bU>K%(9GGHB%dvo`mzdYt~pemuhG z`(IjW&T`Dk59^$+Ak;~si{3Z|4g4OnJ`=%6N0*zhar^$91MaG^+Rqq2X&Ts?2T$Hv zuE&@j_CJ6wjrXk4@Kj6sDJ-y>_M_rZFF-0fgq-PakT&Yj8?e?3Jzq(c)AtAX`B zEHMKy=v?3;iIyilGc>X=CULR&x4GJJZLLvSy_uuh*-JDdId}m;sM@S7m*^<)$L8@> z%&1BY+d7uM#@)tfzkk1c5cSpF&P?1PNsJkbBoy39xB#oDAwZG}jn{Ohz}C}TY|y~! zei8yrV_sa)E{EOr4-%oR^~%hVU#6CPij9k>$wa6)zFL|r=80&g*Npz@6fs`6H+`L zqXposcI;0Rw^BlxRGSiJW$M#EiuVuII#kg<4Z9G~PA8+kU|czj)kNn(Z&H+PjRcOJ?&*MZ zlI1XHqkKTUxihgjT%E+omklvoqoV1uKg#gU9d$(uhJv5un zxSi>1CyR`i&Zkb3NGYm=%pjFzW&wwC*$b^mF&B4kTR(o9iV>%XjJ8(JdJM~DH;*rT zchpG{!yZ&~6Ix?|s31XJ^A0yS*Mo_=OXF&dDs1E3S50tm)-`z&EpCj3bk!b4f50bn z{)5Th?-N97ips>u%*k3J(Ko?jJ}-u}IsgE~3+mj$+pndYiR>LU^ZPPKM#iSj#_H35 zGi&GrEkzHKJ8&moH{H$Gvv40y4Ew3($Zk9?*oRZ*{PxTw+nm&QK8n-%v2xt_pnMW= zOiX-ZF;VB?qK#L*KhhhN1bv5pcUj%?;<9S*x+3RuyCe~u=%OXLjN%{-Nw?S{gL6c>l-Oxu=k zD@u+w)tmZ@JdH+XexBf031i>W8)g&q>Ar>i$kf{$Z}Wj-;}RYZVjQZY-7hX2c1|_; zz4}vuO(Lb@3iF%NH=9qiML9@O2T^ub>d(HP%jxUMPI|Dq=sAsxpp2~A9a->_yV>I# zTjwcAsoK82{hAR?Y(^PM#m#oY87^2I;?v-(7@Aar8Pl>FJLP;%#Ma$g*hwmX?SI>J z!_#57c40K2W+~UXcT7TFxL^U2(+{YrA>;jO$^XlEZz63GeW}Xu=Sl~}+2CT^<;!H$ zracBbc%ljkaAj|#OMz{m;YWj^YwCQ96KJX)q|_02J^X^Y>rOB9$Db{d5=8_cea5mJ zWd8iM|0EVVLex*?i3`1Ob!lgNvng-a2+J)LGR6}|;cgzcnE&3ouRs!v#BG8MB+hp! znh`mi^fIi^8+G=z8??yX8pevz7x31_YvKs7Dnx1|RTqEYS(wJQ zlvX`YDNC(ldPwg>S#*0^T6!&KAMR2MUdVZ*IPI*$H8o5`Jn;+3^lxJ%KFOUb-F1bI zCbB9=U>;0PNU6JhGi-~8@l=O?g|f*#n{e#Exjg$0yxozop*$REKa+7CUknxO+w4~ZP#AB%0+~cx{ z9d%Ul^vN$ib9U#T3Iu>d8w(KXUmg+At67P+$);CY#Ud4ZiMLZx>Y;PXLDi3@vB0F)5O5OlA~B)(I%^P zM_2~RfX26Xwdvv*NgE8=UuEDh8~xsGuF7f`$AIuGvxPKYN6$(5NXONm7I>C*^l`h8 zpUG1kVE*WV8EZ(v%b2%pV9UKUSe(EIC~y3h8gY5Ne8rGldE2vr6kNb|6UuWe;FHmG-2e<@QX&jIkXtkg88>Fp z5=~yS@~hEo4jd&pY8fDJn+rw=^}Hy$KdbJROL5(qFzbp1;Xvx~>QZAyKCKcXiDe=+1ptPcys~S!# z^O|w^5nZm}K5QXc0W8&)mO!5rEEOcNc{#MqV$I}TR{1nPs3>+@qajJrl3TM2V)e(H zu@3NRXzb&jQ~gfkxAuZ~`vZL;e`$ioF{em9p?UgWKlHkY*?tD%mo z^p#`~6sNx~Tzj`pAG<613*{7srYF7>sK1jG4w#f4hd^5qQerW}!0v|J#V zlA0|ABe}2yeH@(So8q-^k#X(%E5IEk>&ez%;Q9X7`%Ce95@1R1fx4g0!`BjiOtMH^$1yO>g#P6t<{|v>1PL!llshJHAM_?EXG>uz%<~~`go!^nCR@VDduq${=Fhc9nNlQ-Mo~>ZZTOls ze7(nTGyn+-x|Agi#bW- zN|nteZ^M7iY5Ss|K0pywC%@g4{mUDT{W2xQ!#icay{{TmvhX%E=nZlcffEz&*~+pw z?ZqRbLu(?Sk`bPwPEUTM zlBDEk#w#`YE(boT7VF}W+A}s;8Woj&*V($Mn0aF2B#HJxI)-;xu#d%_Q3=K;^!i$& z7@cFn`WzeQ**97_!qA8oCdEBQ)-jeHz^-~3p}YdpWHPgSt~Zp zzKL>Eoeaf8K5&1x$=%!wcf^!Fac3|MMSp$*zk+(XCqhH{jFW#2+rov-XNqkn(}j;H zo&oG{fj>Os9abXxhh+^yj>xaG%9TsX8};|qE2ud^d-`t|cRP4G1!{*C$*9vRt0f(O zt<2cj>ZPyEMTbHo*l^%5c=ZMAzt)Jt2 zrJv5&3Kcbd=E4zWNz$;Cqi(T*e%beQ6f)759OO_+ZA;W5NKpJOkO<)Mn7b1v(UI@T zrXJ>1x<|_X;jQVuRWc!R{!| zfA4h$iy0AvRtRo=cH&zS?b&f z>#pf$DZ8rX;!ojYXJyR=^b(jTuSyx3LWx)FEws&$+#XFtHZL2=U)^6D8C2iRj0G}q zMia9Y>Tq64FZK}v(>u3WBquFBg9nL37po8crjq1<=TKHRswDYbWRD4FbJD-f)^s_L zoacIk6@gycJY?pC2dpiJKY;G>7pH`b>ZDUv9Gp~JkEJJS9`8E*dc+xflf8+X{}OY+ z#h-j_56GCS7-N5~2_HfAP4#0#^V$OL%E~Pg8x;QunrfJO>)H#kR53lkKfK^!~)|>s+{A84T4xhUYecvhD4v7R8u3N(I zM*jS5)_M6@%%*JLqXr8|2q_!mD%z@CTV5~HdZ}*|Zg41^3=vZmV`kjUpf%EsVVi+Gb7icVho38+_w(|Mx1e=z7iVl7QiCnpdQ*s$kB!OWKLv*&ID zAY&#Q@9xPBel+28KS)rj65)L4vO5b9ttc_MMoxus0n zJ9D}54wlCFg>W`^(U-PP@{VikYD7zm$aMw?dHbfn^q8e5xH|$*A$yBg@1^H`WN-o2 zMqz`6<#~-sbDa}cT<&QyrBGKUPJPi1`*0wBH2f;`!u?b9n1drYu%8~2`TEcKY>+g9er9mpDD@Jf5lC%PN*}cm{!yU)>ih- zv%IH61OVtWI%~yo#BUGnA`8{9?bo&N#D%K|-CSdtp+0_ia(rS*-Fym&*!>AE9% zXS|Dw)<-(@SH4Xy7c-hK!$;WS>rEUsS1s#9dC^0t`(lD*wT$AoIBF9vKK^3w$>$@j z@ZwxXa-XMnzR%{kzFU~bDVg8zLNDQPgRu}&nsIKpIbD(nGcJa_b?7F{=5PX=HM%(8 zG_lT|bbQL)pwIq@1LZ|oaCTz&dM2ed6@1%gxB79)F_e%==fI0WzfzaGYI?}LYTfN} z_48vliZE#=G!fDn3@K4%O3~rIsCT_-cDd{=`|Fnw%9*_{?9yNfR6V&%_hBtiDRP9(P=MgK9RVs!yJ52A3N$#(6dIyMZ8NIyb9Yjp&doMhN`_wopg=wcv z-j5XuLGHxuH?xVlUbYpB&9H4{C_uMn{V|nyxEKfQs2iloX-!!7cH5*}FZOtCc&Ron zDwV#V!R%ZqLgOdYn_Ecl+sU^t4=8&U5Syv!^BO$E6G#mWna07SY=pq;-7d|CCMQac z?<37di;yj^>r>&{ZPwY3?KD$6u3)rTxwWK#IrZdUxYb8aC)Yd~ao9aq~{2=A|p^&KJ`!aiX#zFa=>D0*vP3KbV zbpvcBhp)P1eE(5g>6}R)xKoQ18?S#^J6}Io#C1HE*-;szf8ian7{KdByJG~^?zqbP zoyOVs$Y8zUxSRe84Uihw5MlCpxmiT*hK^jZcSZtMULX=?sW%B46m7g!HA8bw|InZz zpd8LF=Z`APK&0hO@(BRwM+C{HdHa}f4Y`+Bnf;}ZJO2CA79g&QG0(Ysi^tp|8F-G?i981~6XKhzDK@z45 z-aT1;tgit8L&R>~N8|VfO(LG0J)s7y$E2rUJ>04P_W{=bulWC~nEPeATjWA~wvbM* z65)B9+WL8q?)b|WR7rcpe7w&&t(+xP&~ePFSW=$!DFVLZ`OI!!dTEEZ46udP^9d>f zs)Y4XKd+}@&|lEOtlTKffl%&FB6wlsdEqlD@$K@|p zgh3%M%-_AXkN(nuU$MALKX>*LjRp)`OTZc4NnH{we{#RmRo3dn?+|<+U+TFIXu5uA zhwK0V8o%`ibgvxIhDMCtVj6oQeU6Qv^i1Z9I8@M|7aG!UL#9kLI98DXLk-4o-7C?z zM?SK5370sT>}R1D_L1&@=)i5Y69(6fG7~4}AN=SNHh4*Qmz~9RT>-i~z)A zLCrZodfiLL7{Cvd){t7IuIG8QrE8mT@2CRX(LVKk``>z6qC$cF{()&@?FIpkx|qbD z(;@8ENV~34rPSMFdes`z>$7W8M)Nix;G~3Dio=za6v*&sl%{KgDK6Jm=DF@?KSz0O zXqC^PpeDQ2OsRjkebz0YK^-2SRxHfETb)x)w3A;f>kMmGR`l#*6qAT)0H0o`9AZGv zYYP$Od&FJuPG`7%&DPcfInf)5V(8euu^e@4cR}mq(jLi@#sC89!g0SYS=5JDid=h}-y|Me6Tk z2r{UCSw42W*y9BeYKGl!Myo&0Ne$rUB{(-#E{*E(@7E~If*(gR#l9$_CD{T??&DEF zwSo^-j$LI0{;D2SOtXhRn=^cfP@bTsx!8EE{tE3LbJ z2c{hl#$sSuqC(WZIitH999ZB&s!Taw+k@}hr$e*PP=gAA{Wju50L}Rwa&JdvO1pOA znj;p5Mu~QpqGGf0&Y^;ww)AT@urXTE2LM1NWX=t!HA3<*`sze*gfT!i<=}CxHAA*} zf3>1_vBf>I)T!-WB97fJP<-VTHakLgry0@BKHwnGGw5i0b)4Cp(Cw^TSJ}>O3sjaC zYU}b)&R%TQp8xY59?xat$@lD?`xcMGtltvHV&2jut}F~kvKo$Q{7g31mRGZKItRr90=TMqc4YrLJKOhJ z$eRys7{;di^~0nnBc~l~ce5_OZP<2R(A3B z@NB-YFh94oE!qm@e9dE9_?|2c4{W{V&w|;L3wiL`+ZXgE7gd+8V1SKRYA3z0VaP<9 z1fEyTLj7<*&2&ko^)qYr2#0h1hL=s?8MFdJ9wBV<%;1C`o%z z7TF924pwQa(Z5~m;X>ljskK^h9{Ls;=(hfPAy{gyMT^2NvXiE!Lr^I`z9P9PDDQp_V7ckAD z>srS*qgbdpkLA1SjPUqq3L*_IJ@upCB@Z9n)_4r0?hlLAiA?f;Wsx(@HtW8Oi|<+i zxg~BC!cE1OPfbj67(m1oTK&_>v%;|oreQ0&^X^Q)`?eeT>kT;M$38Li`@Mw&Bex%o z+XwPriO;Uhqs=EH$6|ECAex{s>mqNC(;xDVpLAwtOO$kXwcBY1`a;n~I5!q$iBg^v zvyyEPCCuz{vkBb{pDfXctLyLr!Yi{3ZO-U*vW+2J{EnO_@-zd3bN2p>NqHl#`%5XphWUPC_q>k=3&%7W!yUETvzNGHnmY_L%)ioLXG@&$ z0izjs$(N2ZI-^NQsMP&94v&R@GSVK#Qn+zW*5L(^8iZc(-*5#5274r+kyQTKxE1unFMJmA$ZDJbb+(_S3wLQhI%~QBfm|9LQ#65Ui)kAWE_)x zGj^+OFCM5}P7*IM=#sQr=yDLQDc3s&FmC;2I!rHs4EAgXEM zQU^)WkuTc(9xf&>$9xziMro+pII1aU^-jCMS=zdkiit_Sa&g-J5B9Mt`@UyY zh2_hvgmu#+(_8J`Hf|V6F+}`y&Ll{|F@embY`SvVmf&!YXa~9t4^d;m*P3cu}Ndw?@rFyD4de}Er)g#4v zHcACexg~4L86e6vU-w9czv1-e<#!FtHWdmU7lWzjo5sp ztk${KxfA3KpCTGkoUYcPKZ1Ec>W~;^B}*q}O1=Gv$oRls=}iY;% zeFRNi^o;-`b6o5tox;eSud1-^v`Vk`TUbEB7<_nmqdQYJIzZRN4jWF~ibyB1{;@zN`Mryk`lbuh=ac(aWw*_VjgR6ZKzl38%Y(;@%lI5{+wxmH#9Onoyusg(N7C^G&{muzJT(WEFRW z!+yH8ng@b-lKaY!RUaP4UJw^aR&bhrh!QBo=epHRez|Y>V#Vo#FUfkn#AuYJ==E%F z7t$?5qwDU;xax8^=I0iBe#TB;jc+bS9Smh_Ln=%&@ld>ilqS8?}wPeZ7fa^lEkR?#KgS8MENg=zR1|{|P&_ zQeJ*Yww+^?v~_ZHMCBQ(Y1ftefIgs(q~{su!Ga+`iGO_ zhFEM2n7praOp?x)D*tchWRPH6P&}7*lVgm~U8c1`L|p&zL39)pqG^h5X#{rSlfzYS zPR|*1)E;rce1~u?O?Iq0DUqYCQ$Ce%3a6Bzf)NNdG^EFGH&4?j3^R>>?irBm#eUa_ zDv{orqwE5Al?$p-sNz)ZS}-6x^>tK^#(9`D5O;fnK6`C)<~L46PST>kpp4csGDh^Z zWY)uxjE*J%&BeuZGKt?lmX+q_wmK(}TXnp~_Q`*u3cRC>aQOOJ|BoUPJyvGc9j21o zo}8`4sBVZ<1Fkx@(d zud}|4m>KUQD<0yYpX4=kA%XTMi1e%R>+0n-YPu(YBib)zH=uVt*gg{D$iG8XN@ZDL z7Ys9zi6nN+-iPNaC(<%zXE^Xf#G*#X8akUi^9er4{%=YP<>^Rr6gabKV_x|!_S*Yz zn>(>F7^veT#)q>L9Zh=|M}`!xr_6yUUYWB?+!-$b-%;{1+^O~#DwrJIH1aVRK_T=c zOGSFeRrQ%|-!m#}iL%5G=z9MX++afIIXht#Equo=BN4xnq-M1e5?U0RQAb4yntK#& zP@gn$%{M5wn$a*Lxs-HT)cpRMS`%V-^w9Gow8${a)D`B>qu^O0ikO|E>BPq|KEbvN z*USmbS7>3YNCb(kPAp?lOzy{9(YW|Q6b;ZMwUqU(?7Gj-Zfm&?lgiD$Q|J&}emu+| z#(7tMp5rl)au$i2gsp$`cxK`rL zeGXK(`#9Yoz8cGsFkIWtEnJ*wOEN81p3u=COgULx3;uG5_8*a-)&_syi}b+7d)|e6 zb!j4sq9drZ*>sAK?lODpb%)toRlYtt0m0=k~JyjBWBdOsCsdkkrMg1PKzL%X}-`Q-$~ zj`q=E?$61-XVsXNEwCQ$3!Fu+C?%?*Fvzd5<{0A9#PqOPeqM%cmcA-!gSLqc!pfD_ zFi&Lo$jDB#${Sq(palC`=Pt=~gjv0XAZ@AA~LzPT3hN4ZvmF>`RR$D9AO~p8U1IfF1tyQB)f^c$f8i`TY;SC(PH9MkN!nk{A zV@`eL8DfUzF!i-S(c(UL7B1`B*XcxYxk`Ml!OKewM#Lgw&O0S`d*-OQ_MuW??NF^G zENS0~XxAyBMt$^QO)HAEg0j^?oAPlPElX435~a1#*o2hwe$(U!rrAT|u8^Yf?i8?ZQtChCX*!IcC-E`c4x_N0+p438SBI5gt`#~HQU*@ic7xsqBXFZ=e7f{qzI z7gnY1NSGWEPZ8(z{y{ zzC)kkmi-fayvgk>y|*YX%k*_S?X!4hmLQT~HdENxcSYQc6koiGwZM*4rvnrl=@OM+ z)2{1G#%ohiNYrs4omv+-v7KbOgU~LhVQ7Cf&)wZc%;3{EnVgh?yLA;ffkvWqaV3Jn z{yZ@dT`|4bIoq3ZsF#z}oJ{i8NXkYgrpSGlK7HIZP<+qaOz9(4Qx(0_oH{I-j1QM4 ze|mL|Xa(+?a&V0!6Yi%%GLKV$L(+GKvXtx#E>E=8@ z69z|)uq%`k^S_LB z>uWpnzm2+G;9GWEEMvpWVwFHdg^{r77plm0IacdJlnUn@_roTZPcIh*ZybeJ^v+Dx z&#X3d%^63P$v+QP!v=M2Z@wWnnhD0mSV;Jmwo)31}bc8>>QdOpY< zusU^~;Ed8|U2D7?Xk{?{yw7=vhe<*lk>R<%IL_RG!EyhC0{d4Q@Sg4NG<4Yels%;x z!}XSEnS9JCn2_Ck+mze3$?^t4(3iZ~;M*z2^%>_G1eL%US=Y9(E7V$zcXP&{Ki|?J%qMrO(O)Aky>j^(d6dKYFX!(uYXAZfEg&_h5CwrVhdHI+q6kKTyuB=eE+CGARYf<-85lDm7H22$k@+V{*$e> zn*D;MI%(hs1W}Wz9%viB(>o5UP-NA4O4Ice#ZBxle@Ss1(v&{15H}yRU-=M!ky3Lm z?Y(JX_(Lc27q@^(+iJJL;LS-zAXUMDS`pVNE-n%PkVl05Bjyd$i0j-pSa|IIN9?o%(ti@@k%sV? z@eG!1+${HYuZ||i4aD=!mGbDu#kftCI2YW5IAha?d*_sdzX?_IB7-Ms*$R^i7<2v`kx;#qr*Mt<;&iAcH6 zY1?DdpphDJxS4>C&N}4n%ZMITDbM~9$EPOG=UKfHHBjG9!{y)oh&@r~RsQoiD9x8t zmV){QciL(5-k{YC!LT_MiV6ViAp8@c27JHz1bqRRkxEL^{bv-t(***)C;V=Vep(;^ z-MRn|@Z@)VPbnY(u=HC35n!l3#PejT{do?G8{+&CVA>*k1@NGG4ldCnJ3Xg#J3iA> z`7W37@ht4gxIlY;)Qm9l5df(0b7EEwKBp@bdI7kLuMWkUAOiq?lJMQaOV1e#C0+pD z{8KB0Vz0i?ToAQD1yJ`#Uw}!8^GT#jD!;zrC8A+@NTH@bR|=jWT3tjG?r57K@c_Rx zdSYcp))#b8KA?lS1b7XIN9qiC0q`gtOMvg7vhQmqp>6bg^&^I3U|j*g$2e32 zR{+b-^XXv)%2RDaowF|~+{app)LAVB|ImG!!V}45;@GYw_46(_2XfkOct2JGc${W~ z6S)lH8!3=|=Oxb7_eyVg>dRRcZng?wugG?DeLs|$2ldXYH7a13_l+0^$0SBw{|Z?x zHjJ8P{|3f?XR@HO)%a`Z1|xFV9W<}?`JuPh*cgIV{d^3MA>}7%C6$VN?&?^qgsIr{ z(S;n!s^<1fE!~DW*srz>k|{D}$wdLa%q!u&NkC``a^%CkTJ7?m+}L( zlLtO*6&(V;9VWeXS%UPmI>Xxn$u|~O^Toop*w2U;pn^RNK0^-fboXWK`b-AeKGKBs zlUd@xkbykHC41Ck(X2=^CRcmc`xR_YwNsOFDWPTJfg0+;4HsnebRj~*BJv^H6Pnf| zgl#gN+FtoTP*tYxyHDAnf3(Yh9HMC6zgN*>>J04)KCZO|aPM+n$YYMMPmi#l?w$9k z;d$e!7W$I=K)9gsDh&GH#&@RlA*_WjPq3AB_75Y=&G6Q8=&T|n>YvtrDkzEXx zYOG+PmtB+PLLUOOg!UX+_Jyd~vY6br+=8z>H?dlQu>yJ>{BO7>S9yPM4^ zk=&^}4n9#(LJm@Q%S9HYJUvXrAZLRl!jcb(osX#cE^)@!S=uT^n~ju>XdMXd3bETw z_CMm@Y!Adu+^GlAYf2@Eq!EF^a_uq( zW9_^v9#(=Rze7OPcT{()1%uLw5EQ#upoNHne(msYdbRynR3rYUGd9g?m!I(=kq|KlIW-B^9emtEwgDU#ty_+W2v-*E3HA2obCUxlt< zwgT+IIxLJY1I7CI;pDU?%78`g(~SJT(_IIU(@^V@RRfZYxvl zhdGOC!Ph_VXxY0-gZ#-%DPm7Tg8-C+(zW{w8cL>O@LQkXOBpi;|}JS{-ghmZ&q$<;k zB}dceB{w`BmKF`KTb83@?7)xkw@CEL+$^NxggRVc$CNf2lJZn+R~eTfikf6kh0rOq z5T7SD2OG`B?Mky>c%Y$S96#dr9($O`+k{NI9lo<5O(p%84SghUHv*ywbpDgMB2!($ z9zRS)07@|qgS}tP>*J-Q-+kpe53bKqL&5NNeY;*oB6l@BxzGyr_o=`t#ZzOF(w3L6 z5C+(Ld9st+EW0~`xZe}rL=%W6?t1HuqYaU}4wR`uXui@!)!K!cf$EW?VR2dA2nEpV)r1ZkovuJkvwS_xTH9 z6oiE}Z*z+_!fA-V(*Frd%I%uxBAE06TvWAeNHVZ?qx*5 zr^i!;uxsA{qLQGe=?NCjkuWzzKs_GWPmBsqe(?pv1crm<@DYLjQIj~p2gxJ$n-*E1 zG?BSEZI&EbdH&$OMvYAO`U=Z~l2Yh?0XYsAlG-;*lAXS+=H?%xXtj{ApoO}Q1vCx< zjfw7KFa9cAWU>+@0(0lXU*>j`ni?3Y8?*f*$ljN+K{OwzQWji{q77{E`GhWex;0Oy zAwCx(`Xs%Zg^c@^E*;%{C;gQ*jXq}umg-EL)(`$q3ji$UkPx}attff#3bD{P1>TIk zgKgWz^kGe&E6R@2b5(h>$b?-^#eTztw;3|L%(XtsKWWLKl%*K}==oI})~>Gjs0=qR)_SA30@b{v8nziBf+G$4?HobTo|ptR>DnKb^~njAzT--Ff{+7ZjO z4IqPlFPsMcm^t!Q-N5?+bc@u=g{H=l2@ZyS0-zarhttqDCz`=GOj**(Oh~9k*QX9j zpU8~nS}SzxSl}X;$9?|>Qt5FQu&o2k3?Eg~4+PX|mKo7R{6xKRB1se!i=fc7Ar!MX zjhsKY@TL*|X{AtUr$Ev>h5lo^7UB$xCkq)1C!_Ourq6GQFq;bws=FHaC@AUS<*R2n zf^1rm@#~`@14ZO`b?0O)<%&eO*B!jqnG4~^GIcL-_{rU(gg%;sp@pfd3WuTz)fr2_ zOi>Vqqu%AUrwIX_Avq>Ed2tS9eGpmo#JqA!)c;EinebnUi9#iiYE-s@yD*@mG{aj! z=j^&8Pj@3Btin>A3rAQ^LlV=6Rx%_rQ_eiEOzvmz8!16la*{hl{pGg_>rx1cekWgP zoj6(9^Y&2kY@&LC)|_gzK-4?Sb6%QGs%&bd;6#4W62+>C?z>SLW}kzwy=L2$HQ0vYDwfvl+SOe8e8!g^lL0_H0&) zUnv5Z3`mWy9NZ1crp|GMDar*baWV@j$XJ|p=Z{xQ=y|1F3)XH!CFCs?>>xS<)-yRD z(1Hw2|9nO1`7slBEgOap_V>r8lDm7XSZXK7bQp<=aeH_C1qX%GvDnqGXvZVe>z&ri0=zhvMKIJhz<3viMmREDT;z;-2q4 zX=pgv4t;p@i(SJT<;{oBVSp>{nL;2reaMW}Dp5P%M@c#k#>B0VIvGtM-LN9F5$SLl z7=Wm9lfKT-Ap!~QyxJnJQEHk|T%$%%AS*xp4bPT{b681YKz-pVhxvLT)zT;)puar)WE-@4Oh#~d_$7m=DPO|%c7Y7vP8sDZnxCef>!B`|Tk-5E&_P|Tn*)3Sw z5Wyv{rb(wL?7>&3C7rfP$&I!3m|6-E3mgH+hNXne7!EN1IT^pjX|Ec`<-U2>FZpa~ z=Hv)yUtPvWHp!!~0`<3oHA-uFU%d%i%>txj$BVMO%nr&mItr!OzV}_*uSiD?OzKvpJ?Z6ImrzuQR5u)l zp`-fNJUVz$GP-$l4C{$N)?Zo+svn6x&OmF#rWg8}l|L3%eBq|>bXJUDAUB=VnkslrFbfegmCE>F`vsj zBV9ef&HT;^qsAu0y6yCEr7tM>MRAhYQGIbuP4(xOQH4i>*ucc&Chw_=cZ_}vj6aTB znWqFg2Xv1sJB6|0dCe593q3M3OmE2`Ua@ZvmY79j+9hiZ@qZ=#hf>EYc9eN#ovWe) zTQYMllMR>96SRGoDse@x?9^=~qd=Qo>6ux@$c1hUhb_?VW_1G~FkUUWnb@kuIV;Kb z24#8BYLcKP-(V@~M%v(dIc|k+2S=+VD)D7`09%hnLB%(Bbx9CKupOlMDXIY5@l#W8 zELP#IeB$ZPW4Wnsz$%KEK>`9@cZ%&MJD@jH`PDTtiDe|nZ}PC4-WKwPgNlpcFK&{T zQPr5aW4F^_d1tj%Xv1Z&BERf*Zzwf{Kk-DO)iCh~9`nlo*Mgk>H&vxflOZuly$j)*HbWujx$4ccAOG-{Km5 zk-STB#NMO{9JMjWur|XcAtC8qEV+y2r%s#}PXCpkj0zotx5h+8ifh$t51$Yoz)_tl zViw5p?|M&zBXP^A=A8CqQ*iCln2&JcUU{l$;zy3G2V`YF-_56W4Y^m;!XaILg!(>F zo>eR6{tsTadF-MXJ@T1QyilDSckiS$&P!5zqqKUV7-_ji**2QQ4L|9^s7YFs>gA^Dr@}TwRn5&`@-To( zjh5}O#qXO>GiNU6yOjaa|5p3RIqD6scEv+if9!Qs)>fAdwqswHG7M#4W~;W#J~Q)Q zNwef^R}>fYU-)vE_Wz+NE0q87>GiOx3JUkt3Y*`QkqPMYSq?7+^|oiKpud=FGr2KX z4mL2-y7T6Z&G7Gma)&ej$+^r(2S0?5re=tzCXhUOV>)?lYN2?TJrx zjvNQCpb!iBey@V=G7IWjT(=eUd7xz_E?3sAm9%S*RXvcaU!>r8z>_d7t!5_R2eWMI z*z!DFWa)HPMQB*=?-}@M9R;5$AZB5X$h-P=Oy8QDm>qbI<2UVL0M;IFk4;PsIX*#6 z(be2#y%UjkSx*R!F}mYfhI{p&(hEdDRT2KiB%*^_=2O~Jr7E{X67PTiBk{~>R~K{i z+xWIG#|PZJ{x)U@K`ei9!Z4tskBWk|_2Cau#0_!cjxOUG5p_e)mu{;AqD$s&-38s^ z;&(8T^5Nk7w{E)&(5%?3LqW0CKeN*LV{S9}Jk-ZQ@#k5ByzJO3x2Y9N#wJ zg+{iS+N)X#qKDcRezc8-ZpEfVxz_IxLS^Fbfc?YMt5j=gTbJvjE7gD2pEfj{!(BZX z%gn88nvKUCg+{53Y{yQ+p0SK&%P#7y_0k4XPO2cyQmGABL5E-cG6eZj%oMyfa$>7dBGe4@&78m*h zx7fMiaEuq8 z{VN}bOmZ^6;&iyR$A_5=PS(U#EjO!SA2{5wiS+qe>3*bj0@ZWZZAC=ZUnn|(xAxAv zdprXPh(^_LFNh2aX%uvT(Nks5*FP{cn$tQ4!n%3?O&;l!C1Q?vJWVI}h2EPva308Z zM3kAdY{8&zWrfNAKIITNK;{gc3zO($tyioGhQg2Ll$4bgY=4+NYlaE3 z&fEA!M6bJNtLOuc@?R%w9{1|Aqf3PM7Y4gCUtg>a|2B}3t>uc$XlVUWHA?2ghb&?W zZ`PM3Icxisi!Aa<^uel+m_Hdc={E%(y@Kwt+xlmj#!j=y#Q|X-@QSSiojFZ{a(3|` zC4>*{s@lz!*YzV{+34phsC-vCV_FKn{`9rCOn|D;_?>95^09l(=}ex626?rBG-7!E zG#Ywhe#+fq2K%6WLak<`;n#|e9DF;OnA1zvpN+0pY%@PLiVPtq(JaZYt%~s_98(e- zX9@~^Hz_k{uaq@LPeKSaPcz5YdB|Pw$DBcYxpINd=3_6oLuu7ZlP)3U1|IXE>2?C} zX<14YPgceM;)t_(=4{4;S*;S=i74D$FI$w&?aZP^^%ii7(@l7sK36lLSnD3@pLs~R zqBGmJFk+>9W!>CTx*Rf;oD+$^*NqbAOw$JD*Pt>5m~!InT&OZnosxRfdvHN=kvt5q zwInGQm{)GbQ#BcQ#5@0q=_?z+=lz;ukl%}fD5ghv>AkAgPdjbGXrF=D>6%%tvE3{A zQsl%SMxob5or%tNo3w5T@_mrg)`bw3!zUIEWnu@DYv-Mxi_9*T!57W;r!b;YA4vte zQk#~-uyWmPt5qJDfE8g%1`I%InvSNr=jq9rd(W7pwf}kcGp@PVqDi{N{lJN7N3v9F6dT$%zR%bTO? zos^_hQE*|9x7K#M(`YZUdhN?vMgnb_p!>esok|x^Mcf5*cHl1g``9U3$-Zg9>@09M zwI+aN<1HJk3Bx^;YdS4>)|H7ms8h@8B=j>=KTzZTa|NgmZT@y?s@KWDCl5IO71LMI z(}n%$?8aATaux4Vf`J5Bm3&YXX+ST7wI8VOeW?}SXKiLPuk>nQ>zk>WNNjOb3Ubnv z_d-f^!x{kFz;M}r^{B@Yxk0Nd^R?oBaEm_f6kBrRu?eiXGk$N7b41o(2IsN|m0H1A zJNo`puo^5NuKyeI_*z5o+gwlD-eu9g6sAv-zB%vmuX?P$is5m_Re$ztgD>BR6xH*&bK}izs zte>a`#B8xYe_K8buXRKa@n%CmrjZYSi0s3&ol%UOHIJd@L*$pyi1>bNFuTOkjHZO>Aoo-Z^C^M+1s!F zdgCn36^Ha`x}4g@D%Kp{+1dnAXHlSEu8-;I(C^7s*tq`)-htwk)vWE7;^H8Ki8g`g zj$uXtga__G$CN~V(#xLc>8cmFZpH6wRqp7Hy!nG9#)}{v!3?!oq*{+q-M0Oe@%T4DG4#r9><0U>L4#yW7LA; z)*F#rhFkL1s)c#Ry`S-Z$#nBs&2^=~%1A|ZY&H(o;Yz{@mSkK?9ukP8qpgHia?+do z?!2835Zb!H=F-ExhE7SHicZSY4eM3^xv5eskZTdy>^c~B6ws|7qWJlu@h^*UQ4;ZWXsfJ${^)EWSbIO-9u(t0qrci99jj|$9t%?d0YoJI1&tme=VX z7GMDHBZ zL}W_d=^@FrG%SpRzUeGuwHmQ6hq>7UGh`xVII%lkuHL69zH)Rh>DOa6rD`OTvLN=p zNBZ;OG9Jljc0%V*67uPAx+MDJD>qfJ7t*xxBJV8l>`2l`by8R?qGf(3@8QaTl1J4Hv4`Okkp~Cyl{^ry? zM}dgD&y7OOiI>-D=<+LmKYfh;M1R3b2DWynNm5ayw_GA#r~rv?o~EoD;USd@VXdN@ z;i%ftQ#B(K1p!qW6+YkP7JeSmrWzcs(Mm_QiVkH&FQ$WOaIDpb}h@GBt0OUkI)G5IrzY#Wd$uC4 z4r!@r0PxY08JUQS8LyIB-1r^~5u-=V%nGA)MwaQ(ORGy}jy1PjceE6&t@OJu6=pM6 zu48D*G(7K2iR?T-K)Km(@AK&gouj(a^D@>gfAyHaMp0BbQIN=%sgd?1{n4*Q4qw9G zPo4Mx11#gCWuvLe3l96O5+hu@)SjG$mfG`6Ma@hK+fE7ALy6exeEHrUpsj#W;KPi? z-r1C9MO^#)hi`pyg(X`SS@mjyxxeD;1y{$*CLsjL)~wNm%yCB}F$*qpFpju6{2R4M zd<#rjBXTY+Tr25r4~|K(9&C1=ik0HmZhQoMCK6Q`|aS1E@W- zns6HALqxJt++bFTc0R_Q#6>%Bk;nL?X9R`2<5`43XTjlOLt49L5uH7{B*A?Tuk@OH z+-%XHgOiiI+7V(rjhNlbY?+*6j^o%iSXzqJOS0!FqhW>|5Wor(jNr?pw7Fub#FBV| zN&UHxsP|$`?jC0K6G_2XChhpEUY}l}{`T8f&9$vd^=8*nqq7etb)0=w+VoovEoF+l zAQSQ0fn!5ftI7?_g)6H@!w|AQY;Bx*5c``*9>w`DI=uX-rp@87k!_l?2AcesVaVN2 z@zL=;?}x#VpH&U!aOW-#xQoXFDbP~P;&3^xVcqF&70)IBL-8cE@B zY4(@XGNe@2x+n#Kjs)p`RBqQBSUj$uvCk%}u+ee_XWs7lKlo1@pvND|%cCX83DWyt zQETYCmAA`%Sj$^guxgs{#D**V0ukvC_L@MGVvF4uaXBo`2g8LD-7Q5b`&|@Evr3w& z!|oqeG0Jd^?I#KRrzMu0f$oYt7z(3vB~;AKEf)Sm^(=C zW?8+ie`y{p>gSs+0O@Ht(e#tpjDFl`){|dfry!IeyCsGWeH$W4&`MD5E19pKIFe-t ziVK2nx~Q;g$E4KDHyk&%QYdUb1pOpREOBJ^0m#q{Pv+IdurGX)_VE(H#7xJ%70jGg zZ5Qx?wl@m1RH5mRa`--4H;rsU7LZVfDbfm48idppGeS2!Lc4?CyQx5yD_#zMetT6#~sAnl*-iQ@A|cO@Xws*LQ>X|Bj@^)2m)m+=CgGPG!fAqA4z=G#GqmUkMM;!tWWSQEbM6zwf_RUiPEUW;3_4 zIw;WhQ_-zY$ynKj-}ZNtslDK|ttaxcpF1}vQ7}s~r`qrGi^q;g|h^XF<4pf2heajGz^^;7s~! zw*|Q;t;Q*V3AWhUlFK&R0t=g@s!Tr_d|6bvxnAat;&mnmK8M0-n&F5JE*qjzmR_q4 zyXQK)o}npvhBX8xUhUNm_H6mI%Jr+l#YlZ$-Dq9DfdD+Vn2gb9^)dMID zF)+bzpAe)smftO5zJ7mji8Qxie=c&tlZJvDF$Id|MDjxne)>x;%2^D`j?43~e_ADa>uC;Qh z>p2W1dd8mXtGW?2;zz5R@txh+RoxVK)tV*GQSp=Ysw%lCk6KD^WXVuaP% zfj*onofN}ByI;9aw_w4oT;BKr==~r_6jsQkouVO^vdGNPU}|NoB=7*!R=k)Z+u6uf zVx%&78p=o=Pl{G*5p0up@F=iL;6EXA8LfC}SCR|!^t+>F~InB91wb$2IU<`8HCFE+?hVU@!&I^g*78pvCgSdVrXQ2#_=mJ1n8gFWlXQ}0P7>^pWs7$vv!;@ZR80K4 zex7eJ#X#i+#*PeqA3Tu}Qo2MMD5AGj%V!RkPc<;|fBz@ZlqPtcn#kv>-Z$;x; z{1KRn_N~a_o)74$y=yOE5sriOsqe45g~SqIC(_M};a;Wm@YwF^!3!~I2m3PcP9S~O z()}{aBI?DyO8BUm;$(Q%L??X_iKdIAc2Vr_?kei8Zo!UC-rsdI|gw_K_RXjJKr zT6#G7%`EXIg!{Qu$wL?s!L>Iw)xh_ydXk)QjHhvbTc3@rTK(WKUtc^}aQ%ipj|{C* zu#Fx_FPL(K^XmygCZ^()vR`_H*%^QJ;J5h$c=&g$+QgJ=AUVCvQ99i+@_cH}bc3B1 zqfYv!m|CNo*ATaEXxn)zcGef>10q-#1;EvH)2wx$V29V;?JB~~N-mNl z=QHR@Ck;ojRp=g!hvgrH?t(aI5uW^N_T;pLb+7k0Ijh5;-HiYj`HY7%&V%()UuJ#D zaC2#yX~%0+!><~nap0oc{1$}O><2*%i3t4_K(4H?xH56o>>whzf=az%{LG3nOMR|! z?)5PU>@&E5`%g6w;5=tX#_N8$c{?GW%P1e+6prF_y@7kr{O*?Z;;q?j;-3&=?H&EH zu;Te*i?p8EHo=fd4##F6`jQ)VK5m}D(cGvPCn+->{hziL4O*G- z8QB%^Vvz(2!F=rc3UV%04=b(n7b`xqaXRV8?H{6yELtDqOh2fj@6HET{PxAuW=Qwf zaByBa)La&ET|Vfn_Mle}tHOjZsS8{7I_h4imfLdZ77VXkznYD{qQ#&;c1L+oBu-2*qbGBPt&l`{Y zvO5U`PorI3_$i=r){WRoN|$p>Epq40<3DoCUCa(hj{8SN>3!b2LEak29_PXtp@IWw zt@cRMD`e#D-wASYh5|><^r-2FwUK5Vvy7NkKZo&ALtX9Vz{7*OvM5?=ogi_^|LHp4&DB7rr4TDsR-3W?&RaLrz_^JWW4cs3ngA zn?NJ=(3$df%@c24<&9VabIkCUV5KCYm&dB&XuofB%?)H!BJN>7OUDnF!_D}<&37QF zS}a8=-w+u3Jx9vBQL@`1OjX}0$rhdZ zAPbXdJS;AD4I`)cMD)myZAYK~so}aesmzAM`gyw$W88$!trWZ4iLj$5T3KDt@?c1i zj~>FZbh&%1HeYn%gLO#CzR?t>@_(s@IIcn~-S9j~`)pLUk9sx)>nm|GvauHCWJFSz zJF6xi)9?)i75};!$dfOfH`9vh+%01MEfk#Px_tEPx4e{ayEK}gK-ArJk(wq;J(QZR z0Ga(=l@9k1KO)7weLt9JxkKkvt?$yM&05K__;e^!SXRl*b`U%X65e2r$EX{rJ;M^$ z7w6X7UW(l8pd)%=}4njjeiCe2)Zb;3d*gB*`}{wo4h_Nms$AL)7to zu5Qloa$9BhiU-**ll#S;VteVQ|JBacGj96^7I{aV zwBH9A<%mUpnAidI#^ap-bLC-BZ#vvETKh$a91m!o1d0sK9yPHpmS>OKwfP{XCSTxN z*xi{MOd_?)Qo-NdouMZ%gBEvWXhCX_^mx8@Z7({n5~zI@ke7G-!(2g~c`v<}?&ql(%p4j7)-0qM)K!5uuBz&PX_6qrGS#;OsTtb25l{*CFbueH`s9{ zP4J@o?#DbF)+@Mp!{sw)Cb4UIyEcb&JjinW-8ubB53R;gpO8BQ1`xy=Ty5i`)Yqk! zSnOewR@+}Jfn2D3pkbIB{FNPYIxL=4m%(iCZw=XreGA)S<7iIF_A<#nxgG*98M%Ue zrqaE}FEAPqQPc{XjCkqSPDDhLf-XN@K3*+Jq+rb_|KN<|*HPPfIf*&ki#GoYJK#pU z-t0LUg^=f6fvuhqMD#$ksm5hpbxiCuF8VF`0-GI}k%cNbLu_0mt9b1@aTF(Vq&!J> zc3W9%LnrJo7X!LGV(1%l-*w^&amsi1!#|h?tVNnwyFTqH}S&I;+Lf zjX&A*F_0m$=Dm+5niXsl#{j$bFv)dvRRdy%OIMMTXfLC~q>ARPW4|`e$Gq~Qff<+e zMwOBy=to}h5@yGWtKU`Jw%1FXg+DLj%pf65()JL?ls*c-E3 z1Fg3hcTA8t!{iE~;f4ChwO-L^mGnP`(4?j+DZQXTF4y`6)Oa0N(2-04Q)ru}jMUN9 zoF65R1s4i0O4Tm}!IV=On8%uip~$N~U%MLR`hzvaiVo~0&oSv&FN%nfLp3XP1;4~D zjxv_OXS9kiLQ*n0AAR6!;4MP2bb5lpHVGmNqH{%QswXeNcp_ zni9o8cOxQF#tX*|8D9oyDQ4ZaEWyE68w)FDuOeXlfyXQRQR3*j*o}^S{L@`t2ydek zy83(*?szKAuOP&j;h3I`;dG7iklL_@*~x53;CN1PUjj>IDD@y&rLzRh`Z?3OX68+T`jY3|G51-akiDfy2uQ6bnH-nzav z##Ro896(m4ox5$10BCK04j4Xm89%#^6tn9ypc%vie~S$=3mds(Sumc1`1g%k$*^14 z5!~aZ2gMMI__XRNEoq;97V#r*_(*CTSfqiy(;2elnU}(M-UXL?2ZvmK`kM~blGRtt zlwAF`g@y9;qfMO*Tb#wm52;AbpRKhe)RgSS)}nRkDkFv^BE~RbMNGBCT_SZwWkPMHS~SATS{hM7dERCvcpzxIxddry2@mX#C|x&Af(^tR;pYn7SpZn=DZFIL6oJh zkGGr{D$zdZDRIlx`FnRnRD^u9cA~V|P<%BPbx6qi}cD+|$}rg@BQnh1>^)exz&JWQMFgsuxm=9MtX(o+Yn@ zPEpwUTiS?zLlCirYqbxbm!#=ufu=P@xNpNQG@D*7$x@f1e&!aX`ame6HB$d-<;wpE z1cIqxGqo10xUr1qc_&#Xm_|n#_HR2%RUeyCpu_O)8K2CljA!dqxDC3yiL<93+zIEE zoMbGcHPOT{CW4eNL{V#OB6`>-T&1=3(jZPzf6+E@#06IC-JG@G-hYzqx)any^y-i^ z=;^R%s`T{)gr$g%k=JN(X75kkC3*o_dPBG?;_{WBTWA(l+W=Omm=UzxYL`y_?-zkT z0!nqqMMHQgPFY_j#!U=RM?|Gk`Bc-u?IG#$%np7m4CkL;%5;L%EAaSZ11o=xjL3{= zyN!v1q5ix>P^JdVt+u`~A+wI!oo4q+^|oPgV*H;Heb-oz{i2UoBgv?Qt!D;tG~6r_ zN!cVU7tTNR%BIpZdk%a%C1qdXbiElMZ$_0kj<><*2gEkrY(;*$u9>Z~dYZQ}&qs?I z-|lK(PBe(Te7UGe%Qh3XDi=;zdmb$KbE4aVU*()%!A$T7qHbBbmbc*=wf}_F`A2^v zwW7vfNKKw#G+#4vlwlVm<}j^5JcRn!d6dvE&DG0&OwW*U%>s#botN~n+DnjUsy&9A$KicP6QV8_6{fw zRKNu(fq)|64hGGm)naqrXR(xK=z4~x2->I$Y7**5K@M$-f5S4f#-zfeW1Wh4v7IeL zBf-_ghu*Pp+6wF*=*r5ZrpL|X{}-0c?uT#aw_yQl9zd2pfzKEYk_)p>Q2_;HeO5AD zx!5~{d1lyO{ZlI{rf8oT@c(S-20tWA-ut~z)l2e87q25DkW2PDp4TrFXTAdVlfB*D zZwW%`m4IoMdO_G?4SK37zM+J}J>na7nnCFtRjKe02kpU}L@oAw;84k3F52|x z61hv6o*t<($-ZU~EZ$|NOtkzs{7wGRC)MPEa2hSz%?k=c`uE4>(P$pWJ|+&Q+eq2L zPvd2k97I%q7qdoo@pI#K?1r(j9R13jqV0E&^gMty+~+B5M1H69n`Y$5f1Zcr~4y=x{zB6s2v0#;_qvdXigm+DoV3n2kWe4yCE4*vR6D7(Z9$rxgdqV$mI* zb{;L5+~lZEi+kbZ0KUZWsTSfa!Z$a;W}+D=h%4Q+rcZAYBo z@DY2vK+a3^ki(_|%Dk^53i?8c@BcTWHaq`c8CBhm;oQ@HFy2u2>3O)up7R5#+qt}Y zGLPflMnl}iOuPu~Kyd6U?R(iJnT1ZQW5{ve*sU(#-6dDW(%+`~(*N2a@>k=g9~oXg zHgbE%oA|G)D`SD@bUKXd9T5?XCA0j>?W{m0{BA#F_ov?{i}%@G)SJIYrgM`xe_~oj z7)Z4xwR*n|61+Lk{2vH&eC2P#EF%>AncSH6RUQe&x88TZXUp~3(a1fcWZ<$@)k|61 z_?7QE=P9U<6tkGxxNM-p^qPG*2j{7fpn_5}tfeq#weE&AvDx9_8a72g|C>cssND{^)Wfzaf#u;0E8eoI%*@kKel2a2#>X=iRh4{{dsPoK z%k0zYgtgE6z)2D6$mCkWa}u~7{dCdw)u7W+_0_K6c~L7oBWqOq>~KBQnZbv`_l|!u zRa%8|W~OEEgSu}POhu(?h{z$!gS&+MxfXR?3*Sdpj283Q$^ZclFq)@-3`#R(3^VtO ztzROt2x5@lDK$`ksr*7S37Kl#H+ z#@;%$0KA2QPaYn|C%s}_Ztsm=p<5S#a_M3SGM`yacah&1TKx9O!@$4KUeSX4=h-Xc zq;Kwf%3y^9VjdT;`qB-UxV0Xsp-ACK+~U61Hsrfa@945!muJ&zt!i^e{(GM*OaX8Z zwXQtTgsZ$=XBU98K7;RtlJ9W%6_2ZQR4(Js8Fu-v^cY267Te;`U73|uy)LQ`rtnkD zb=XjMSH@-RKL_&qNTdVxzlY}Vd%Orrchj4b_tbus$om}GoNa;DYU4vzY!FQYocE#D zw8?}@>a4-UQ1d_lp6)E5+bz%}(eSDq?)QkvDBz$BE zh@6v9WVb%lyt}sEIFhGH;>0_1C=tW>LiOP+Pz*x;F86LDjJym*+tXxf=GdvZ18H5Q zyu|(DOfYxVYP?FB_`e3MRH?HaDu1j&;ZW97ta@%sB{2rr?)`S4$F~vM&WM>ex>smk zzFVWSCB39|hW3ODXp$HE?KyGow|`odri}g~l>?l!R$D(C9Olvgprz=&f|XPqMx(D59@v7{&(ZpwB^ z7xf9BkX~nq3Ec6(VOK&ct`X*!Tt?DpXyw0A3|zNtk&18J1CJ+x$w?^BrF+&^Oj#%3 ziw)LZhI-N`Qr9|jOVpFLiQ|G_?HazuaukxIP>IG2e@X89?+GlK&k3>TJNv1Teb$31 z4I}EU@1QCJ6J5ajMONG&WU)BZ9nh=zsjthc4Okzj;*RWtK5f{{6edc5I`Dq%Qoo*; ztSBAu(o>)6A&5BcXhh8(Ud9&n3PC}oMJ4XM4yOE|#p@i4bV(Q)vy=2gCb8h z`L&^(*{xwYIQZB(DkX&YJihnd3KBkl?x>1P-FMlx#rF8M5wwq-B^UfZm}u9cJNQ@d zBK%J?TYQY{73#_ik6WxaX0%)zVME_Rt#D-orXHb!nWv|hk9ayTrb9-KtTdzQ(^%Bo zO9u(RyFxPyIYVas^DtW_KE?M8BMD%rL0XbO!EV&%AofV(DS|v5J$GREDC_RtniH*R z;x=vSn_!aJ9Z{6ea0eB6PkQu8rao;^$T8w%SbkH&JB+ax3+o7|!Jpp$tMxr7w$g3G zkLpz7uZH{Y|7m7~9#lK`;MvzxE?yA|+yi6@3FJ&aG$^R=N`GF_9Qqubr?pU&9XVQL zeVD&yQLN-fB@B=F;B4p}5AJ7+$HfC0l$>71?PdHzquhzouKTQRsEOr?d|!SuwFBFs znC9JTdygN~C-MJlY{W4y8y%w&=yP&=t7kdUM#}t^V&_L*WY?qSltCQ9N0rP2BVD1*}CzN2%n@?=*j~P z7!9(y7Z}?zf;|x_;VkuzU6A@;J6Z%FoMjcu zJcCC<++N`%lS6u#ob{uWRJk!JV|SF;x`6GHUlo|VoIhTlM1Bb=@7bCSE$v6&f7+$_&RgwsLJcG(9zQaR^w$ zVI;F~a*inKqb z6Aw%>*{4*NeX{BeEq$0~moq2jBxmC%Pu9_tlT??4^(x5YmGOSH-Fuo`#Li4d1#A8YOG6xSp|Nb173Z7)FG{i?(bMP$jrjL*CIT+BnRuw^F~E};ZBIQ zu|$P_M%^AJwg&=4c0?!FwBocJOHG1uXtj*prej@e$xUkh>k2}zj!ymb@?P$6E5DB_ zf~)t<1i62Owhx!5dpp47f+uRgQFG5!`TwEq9iubbwzbhzl8T*FY&#X(wylb7yJFk6 zZ6_7md1Ko)zpAy?IeYK#+}ql@KkmQT+8lEZ%s%=U&oiFhC$afzvTU#PjbvgoiAI!K z^MaP(gDc^L=irkxp?LnI5=AyE5Z}<rTbbK2SOwBcy@zDCYt+1T9J zXXSjVDq@`#6!{(&i=q`sn8d@pSgwziArSagG-Si=8}M=}JF42SFeg-n{xZa9sNWAs zN5vizjqw(o;8>6t^l`8TK^9?^{SmJ6bt#FrQm4cECe8Pv4!qSc_`Xg$hY_yGb~(|yqs*>EH0gv zc>Zi-3DwuzEki>NVOCxg`Zz&zQ(ND#*lJN{fkRa?@*)=JSV=R*93U;fkq{CK)=b7i zN;VmN^)JPoJ#A_RWl0akS0fcHgB}$M0rO42O(JTS6`cxM-YyciHhR!pMp<8r1A~PA znU!l|To-Xl*CmY)MOC)j2hF(ewV+HE)$gv0pOLrQwLi-ay0{)6<*G-P?nBS*UNluM zbvM~=_oX%H7B+op2V(-m%{kn+>Fg`wwIZ_ck*o$+Jojj3v2EP*7&ugDXUzi@+^)gn=kDVx`YSR7Qg8^PqC9H`x zAJ1DcX$V7ZRP_O)FbY4M{{Cl*m=T2ck0Dt$HARxI?`1=lePCK!1xZOEj%nz1+aS5e zUN=KaJIef1VFj$MBr|BZc|MlOxm3Y4UFU2JsSz8$E)eZ&62n>xfuFr7DIL$uAZI6eV5E|ood^sULYM`u)WVFJ?O>Z9 zO68VdLJWcK5V#rRuaW9(i089?>$Nx>WA6$ibwsQS&Xg#X^Nn`*+mYHD2Y|~}+iR?U z81dV^xdlZIGUQ`?Y_6>E1rf$fRC3-zLP#=7&iKsVaX8BW^HQCC#XO%Ma@8m&ubz^X zzIm>Wdg(3^sp#UVfdp1p&1FAxMQGgxsa9GC3}e4``YJLiDvE~H`ad&!RzbXrcy5Kw z3pP4FofIugeqK?T>>@$$^XK1*Kgsg!>pn|kR4i+Hl!rs%^#^p6w3jZMj35j!s;@eY z9Kr%k%T0|NI!$N)d_TJ<^U}W`u??yqtIKIn*r1Y1u2fxo&ElhHBq0brf|nP!LzNAX z+wj?NPxInf19ZXmznPzj(#J}iOtYXyIxoco>ZTQp@lw`+KDCa8@-9=w9%%$Q9L|`g zJExaHpWCH>jgb)}8L{Ft;zX4hv-;$%chC#RhCX`sRr690o!qf(@9DxhYp!saFM1HK zrLB;XAo&-aP5To~AKie_^C!w_H7oFB({=MFShroxw?)}2D+BVPtkbTUD3v#!hN$*K z+L@F;f7Jg#{hf4E&rjWfzU7WVY&i+U$S+(R4n(AieH zOIS;V>3QKrpNSz`8p%&wW)`?}DR8VRX=reEgl>alX+ReMEXoPn*Rp*0b!0$=rlL9x zm%^eU^pw+xc6AR}6kQ$2E5kC!fSw&WIGHo3a>amd>H)d`%t{^6;L|U!o2kU)NYqUm z5{%e??{l@8-7ikHz?4s0I@{qn>k1iWFeDJuGvY6-AtG}@S|&Y#h|^5a8Wwd-WT9+6 zK5S3oOy81j4jx}|q_bVU*#3AWHS0sgar_DyJwzr?e$VU87Iy4vF!;k> zTAaSFzSVQW)tgiwGwVjs7d*JT8vwr;aFA8N?-2FXh<1(Ypu< zJMbz_Q5JhACC*IEn=OqsOidFJiz#SX75+zX0P{C4`dNWyT`t5`j4fu7&&|h+*i&HK zi#2brEj*o<&WrrniBJouSq6zGq>eCeU4zEx`)TCM{db#(-N9-n3{D~GkAXx8dx84> zV)EafAj*@Lq&F{^09gYibEOY)Mw0Y*AX?BfptqPiCT&w zSbZ3-YiB-!v?W$&V@N=KcH^goS0~rG8K@8;YNLgRf4+uW`V20K!J#MV*Uy*W!2>#J zfVk=Ry`pv3y=1N*>!kjVQO{7L^$gIh`q=90!)p0tI^5_#b#flhO))ga4(M%g_*v_F zMKyuZg6?bc9e%H8hQk-wCcDdKS zip?JZnzD>pMGhuM4c%l|>(1L;;pyPIy6?ux=i63Ujm0`pVOhGJ{@LFbYq!4v55G7M zwony{v*Mx2Jj`1;(M6lUzq&8p6_-~Fy|n-~w@acSBhX(7u94trPPbg;2|vogm&Iol zb%+y%8&xAJ;JRZ+W4#rwEF)`)=G>-STEtLrqlrGnE(XoTq2?cgq!Kvqi>;QXkY9 zD<7?tu=>(6Dt#6N*OC{O z?O3GkO|w|b)Z1g+AFD=-DjMugJ#x?J-7sj)%gz)g2Gcvl7$&rp9I}hdc~|xll5P;| z>t*uO$lt&$uRgv;My>F4sj|Wx{UGB8;%mR_k_tk2p>bKo^l@1va#S1)Z;M4y_5h#k z*!;MJS!Io&%&KJcAm`!0UhfKxukKuPlIBqL`Bk`WY3$LY!%DR9{_Ns%ad8L7V}1UkRCpV;cyMrEY4r?!cQ=G-*w8f+QIfQNVZ;65{Y=)H zJ-r0U)-WCeOQoGugn1TWdn$r9h?kztRS`(-6b@=Rw5l-87ggsxbwOf z*b7))kS!$Lp}LB`oj>#S?2X|fDk5sw#so<%*~d<5^V{e;)3G$a(?_~FDA07~eXmpy zz7lgbh$79qLA3U``%BuGs4WZ#EGvAuvSh?6uK<-VkJV;M*n6#akFXgD&Uvrjk7v^* zY}L{5A$aS;ipC2*a>3ysMVD92s2lF{$IbYbkS$lx++!H@`{TQ>eQJ>pjjoM)n^{W( zZ`W6ihf{(DSSMXk<*KbsavV|HH7`S7^};7fao)Oj1t+WOiu&kbufE7mGT7%R1@fVqE9<4?+WnG0DWk*xQdy!@|l}R{QQMiAJ*@xLf(u zfzsTPpe1u^M?iFBrCqf_LFXz3g~mUZq+&+G%|eAK78Y}S&(z{{odpHTS$9_;C5q%a zK(5}Z6Do_J%E{;P*U$;uW}(!WK|=Ivd+^gXKqGH+wYaiOCdtx>ez(>R`FMLJsC@Wp zQA-}Q-#_J(^3)0(zEk2wYQx3hW)Sb9VAZ@9xpwnGbkt-~EmU>RchA>OuGc{x_A;2$ zD&4&Zi(uKEiTi2guRibV@3*V@{NjngmRD3?$@)^fbtAMeKZaGr;y^ZFb`zR1GFpZi z#xwhxjUJfPUuxP``Dm}X`4Wd>;82};pfj;i+016@ze6OjOK$!EO}fVUu0U#Gb=nHG%CW8EwtM$ch0~*PqZ9g1lBFlByIo6A`A3aIGYHPF zKy5Id?`;VDE;m5~KCf?1PfFuYpAJepVsAh|Ic(y>Z|^9eHqH8_AeO;EM*=Y;Z72iw ztv1tV=8%z4SOP$8Up8(FeLmhg00SwCbhN1^01K9EwuKf!#8_=@Xdu4o<&rxkP)x~u zf1JcWsV=5(|A_j2YM>kp5;_wfips!!58QFv!cmUlQPmyHB7q8`2>g0Z>;VqN}HM4mv^S$ zds6~b5l*lR=;4*<FyYWT!6pv0E1{lgf=XI6f7}otm>r=zQw) zxcR=VWvAI@lD6BP^IqFd43>4WJ|npcSR=rPPlYNm9)hEnJWj z)}LQa+WABZEU@C9TaAo7_j!eZe%kZ>wn9hEj)Z^`hU0{w^M!I`tCYHBBx{kCbL3;n zx+GODtF6IQ5Ti$kF>80cPtI?dge%q=&yCQn$M*L)EKjYeF@eJH0uL2Fv5}Ld63>SM zwYSL{1x0w%-aCGKlFco%;{4)*UJGCsUe-6P3$89mhk$W*`5WsK??}lzAfRCRev(+kMtaUre#c z35T#ysOJ56WX7e%2Ig}CO|2Lz58c@Ax^sX2rR@T@ER_9pf0QU%YNQ`fV12>iGITNU zZb65HPaWFoytCK+m_>%>fXFr1@76Ijs?2z%2K!ncLWOLN&FQ{jW?P^)sP&Q;SSONQOfd=pMy?}pnapS1@xv07sSIe=}2+3Mc#JQEvulV$V zUe4;UoPR@&Sm>z3Gn{_#_f`lzHRBJ)U$T6eqABt^A7iZ?n6o4_PHMvAI+ z%6z0fE9EqnjSFh(+fqy^O+8l&3l@%cE++QmVjGE`D4Pv8;uz)fOA&Y*)u1!(p&2!} z@($`SAuH%`BzcSNSj(c^^!cyJKFv&1T10(@>zrX3T~Ga9wxzx5dfc?wDy$>6gvc zCr)PJ>8_T~9o;%aAsV`ev82?%&1~<=j?=9Q&~%S4sZzePVx$*T$@hnO07@us9~$2& zOcoOnD?}k~EafBh1xoAQo`EszJoTQJ_D>lPQ|}bj3hKM%*?xQVe7NqMqu!)fq1{r= zvvzAnUlaNnVwETv5-uLMq)x}G+O{}GQDwxFc`xa-Mb7OL$V85($$SYR;O}xv!GlF zQ{7DeK(>M8Epn^xA`%qLAngnG%ae~QThId9t5#S*NPwcFt&4<=#h3%qSn5O3#zLO$ z=eS|Tru;pid$X!`QT`do$qH$pcTky*^gDcJMd%xV?xv=#bMcp5y(Knv$*B1#!Lg}D zo*7Dd#V8dbf#rS^V(1v-{(FxS?6K3TCUE+M5CU~P0pP0_2PV!{xjP- z;%0`|O3N^7YjJ-YTp(@`!#W**2JgjXjisv6- z)dwwxeS2fs;2WI?oqEHz%9dFzMda0({3%Hwr9r%r`DNMf*c)oVi0VJFOCk%|0HVa5Tm3> z^I2;=0-fx((g6L;Yb(V9dW`sSU#125 zNKa|zMJAk$nH$gdi+Ef|1QF^-i0-jER+${okcq#uFmpunYJKC>@mHlkuJ-Nk?7Us& zKfHGkfoC#gs_j#3VVdS=3dVsabn)CuENjP1H3(j`H8(at5wnBjQ13eoLnwfLMU$t& z>1s23nB{#NU2f?YaGNIgLi&i8eOC2W1haTp*f`1S1*a#VJFzx@P-hUjmRfMuzHtKq zV*2d_o2MHWEbQ+HA)CpuPJg`}JRS>r+w6ExuA0Ys#+i3|u4Wun)AfJWdoA;>B7V~z z`)KkXn#?$577Jc`nB{{PGC|&J&H9-vD@HtO&0)@otvq45Jrf6`5dI{qZS1? zw(1?}#y)FmaGS4s6l-B_l$Ia{lU~jR7tNX3ht>C^%x$qQ^yJa?_8C_A0&~aLaXE^%9Irym(^Wdwk~cFuKSFiHF?(fE))o9VG7uz5H9S@t zlVTx_=jkAxng|ljxRBrHzXfC(C?=A$v507$EHYB zB8IET0!+R7B2<|j?gc)l#d}uK4x6gpZ_V~j%xiGbPi-YRSa5}X*B_R}7 zEY<-AYQy|JQ=^SpR+6yMlN_mb&J!rCIPPN$wx32!b|-l{b+Q!uJxg`g_z*h#cxd=s3cRk^ zX_LI|sKQ(G6iTaq7jWiByPg#m^b3x0u<0a(e4g+-$K9Rq$BpK#P>HAnZ;I`UZOU?K8k|8iT6?Qx zmE0-sq<*tA0W?^aeKZ!Bole$vLM; z@{WvI1zZ7_W^7^awh28y8XgKteT-RJdT20)3!2{w2 zvi#i-NoTUYqJIa>VyAGQM1m|J@Lr~t;Qx_k;3s`W-!etz>DI38bbA@!&k0GHWwZnmONEA&2+|V|cs*H_cD}l! zUo32Vc|wgXT|s?e$*&~y)L$;%?Q39xA7W5<)r){LN;+|Rmd6#d3&7dB zd$>OfwMt76TUgq=ALIkHhGtYY&O-;vd-LbbH-ApXEMOoF;6L&e9{Zi>PQ zVuCXipd*H>``_?8I=z7K!yC%4S+jPY|10JQ&=JR9zbin!e4gd?@!mMmA1_5q9h}d!Re=I+5&coiOydZP^!6|T{TllE zZ%F>n#q|9@i7p+vhYdUN$XxeJslA<^6{MhfSH94_4T_-Y}6Bg{(S0q{sz0Qc4Da2W$ zIw8E`bma&{5PuavM+^2!Qh)+9(*6C+H2aJ09TE8WllI%& zOk7wI^!O`E{r9Ymw%E9o{_VVGGZ+=P~{S;X1Cn;x>+4Qnb?uuH!=>#orI&A z_i*@mM`5K^{dAsQKUTda73W?u`4z>x9FCo?q)TGf!EFa&iM@c z6^IF*LH$znV)wfBj==*k1yv2cZ`)vIL-q=mP++TE<1G6#?0KS?d{YteBl_0UUu7Ux-Fi28O9n5IHvQ~ z`J|0OoCi3f=gmsVNWah8qWJTRs66Wn5mHA`kjK(W(E}Kba;Fju%e1T4rRAItPs=ZX zF=DH%#10OV60fmT5r=AYuS%aAK|bHyHV=QH(3nz!n$%El#y^JpRM#-yp-kbURqpJqFlm;tqXqwYmS&lb;Qw!i;p6>GoFR*A=;7Bz zNcARTic9s`gg?C&}JGbP@B>M>z6lk7Tm-}5ZErE#nU;}%s0YuLKWBV3=jqd_t~ z>FudSz+)7itXX>AVzmf!-45*iezmqdx73KRE@>j*s|Bys&eoX6`g+>Y7t(PyMwdan zQVoYmomErqZqV!1Uy1R}A_{|VxHT?p$i-@AR;5@?H*qNzWHKKfmu~j-Xg!S58AGoX zTFiY>!ltTbR`}bf#)iqz#I9}Dcpw0{j(7pF>?T6KF_7j zw;q3z4_%=jicRfB+1WCJ@iP1GIk~#1KkC8YV0lc6LPcF6yl zY>$gNm4mbIU;m4(=yb`%w^Kr9tiGJ!(BOzFVK(kR8KBUV(Tgp<>MrQ`rZG5r@u7;5 zh;LIq+i>k{qPIz)>~BT|V`DWTVv-z8dU$guO|f)6!5Ix&XMVqaw0%Ar(|O2-Ii{DG zEF4=>soO=|t>mVew;$qUF~MvYO*T7j60u1f$7BxQZvlT>C#-K!t>we$V|D9=pZHJ< z3dHnZ4O<^D+@pI03Pi(vS3{GsyiT znRSO7pIyh5C-rTUr|RtXLkctktQApr?=*u z-XC|fva*86KDX}+(m0!gvnxUAwzk)G>82_*9~a$oxM}B}WNzQQb=TLgV^lpfdq-%Y z*yK=LhfbEn!O@(XyEmA!2880(*f|ofp7zuqW?KhOBqpVV{Ot}Z6lVupD$Xh>g_cvL z-cBuBV=Sc$kS;S9zy8L7_Y4r;@ zb@0h5xY;dbt}tB#l9%pm$BLnrh*OJcPHU~kbu*q4q&hp=hf$-wk~d@^(8YTtdJx6y z(%*y?ZovKis@~>pvv}1XizYPF?~0v89uWl~6-IaxeSPw{Iwk%HtW#avR0?ZkO5Bb~ zB7ojk46kG*X$G}eN&0c*&J=_ALdX4f2t{U=pWpH}(6c`#tNVWO2)Wn{ueY^wC&kMp ze{(I`=o_KW3+Rv1`DV`!zJ4DbkGyz34Yg)dP<17fXgF6yVt6)JkCIR@A|pQby2e z?IHQ2J{7{xh@qe@M=HP+p@rRsz3$cVE+Pd7ZftGT^0_$M+FD%kNSoArb@}^m09%t1 zmx$UH(*$$`{2ReEoGdYRjX>n_ybpZ>z!*@XD*mWi;83Wl#9M3JuBQ+IB8-oCn_LR@ z=bRLF+eF>PzyW7<5A{8D)(a5Jwl{5sT|M2!P5A<#>#C@~#_gDMLP__UDS zm?R=8L`ADC%r&CHr=%3nyw3Dk{M6lV+l<94?@T%qb|XO}$-M9#9t7@vG23MlK1C7( z6dV_tw3&o07g>(|&{(e9;m0$KUOGm3E*p{1!^{~rB}W^0Q-;y@CPYha$t2*0sS!Px z$JQ$&huX7uJkS3{(WL+16irOFtr8|n4w$p6yxc%yL!0NSm=H6d&X^&fK%fGeC)W6v_4nNt-*jgpsJJc;ocJwc6NkwNut&6Vg3S?0P))!3{F9L_+B^w{*uI8k}Lg( zB&L+x7iM50L`?9L9>+}EriCc)0v`>BxG@K3KR0)L%-u%7Q|{MkW7Di0sW+B4vqnTo z?(M2;f?Q9Vog!Yx9zn?RUhE5O7sef=*;2dLM?5S_=$PHzT~K&H5cby-qsY3hC- z`9`^g<2>J6zkIaLh6-&m#$IR!H5ed>Lv~lRV4lJhlxuwUfHHfb`ZZ9MZZ)nKt$v{3 zd3+k*aIE?&F~tdMMIsWN&1Ai=KKbkPw28L}FRKk*vUbbj-FP4m*lwtVqtI1KLP$_a z8Y;F~cD7l&f`+A1K#HT}IMGe+gd#}5FM(~9m^!XlM6cZALN*sQiHG**P;lODK`^y-@Jq>&pe$Ar@+&h_dn0+O?~U48^jR7sX1FFp4Q^5 z2$H+kS=TOKW5ZlH%KQ}{P;si6EeH8LEyv$~7TkmU#*f>o(_%$DgMDS^LuxBZtn0N7 zWEjj*9j?k)I0~zhr|ca2@c@3dxTN~Z==j3E4aU_e1K(C^rp}ORo26P^V!t;5w<)TO zu&g-Fc!DK*T_VgIP)@f7hIWw<{5ntyN}5WXb-yZqSehWYduF9rtqSdWe7a%*{UTu; zd-J}pLRaQvv6nX2X%ro9O3H$;#9y#3x;dCrsc^*<_Bg3guWAem4KYhyyi2|uJ>bXR z8wxdra`^3`lAzrnY8N`4(!YBy+M6JE96!XUr(b-vUgci%*(5%1DrCS;t&B7-JgIw= zpueeN4KLwpu2={3TC|PV3=MVg2`+PJc3x4@4TKylnZ2)gek1*Q8kBE(Tuw-zs6m8U zX}$dhm~<@~70@rQGm3P2E_CDSS9>r*yWS`m3)Y%u${Qwvkl83AM3pGelTy%LY%jGC zcje*<6)63D*(ER9jl?+It--wpCsI~vM z8VJP!YHUDFZ2qhQ8WRXe11z zqHXH7nCtah&qRQ1Wp!Sxl*by=m@~2b*HaNjEGtPk7|FJ8*Ul2qMCmSrjEP8#CrS3A(Lz~&upeO#;DxJDI3541Bop~>yThvwbDp`I;pLgBvl)2U) zJ0daWQyeMDSA5b8Mb4=QjIRA8Qm^|yf^AH|`4pzen6@S2 z?uG|#B<=xHb$@@;P>hHWsy1J>h%VZA!;;S}F*x}w1@&WzVl9IB@8H7aOg*h=Aq<`${e3&sd>N*0;D_-2-f#mvJ`^)IClL zbB>+?oKKJrI9NJzK@~P85$y_Tj<NK|_1yzjRT6ju_y64f9IaO|h*yM_iQIoaZq2QAdO zjcbZdGXz98fzra98i*bSXibj9tg}xJuJb)Sh)SqZ9z-ee$dMZo2%$d3o z&*54W0lK8stmmh)iNnJ!sw)E&j!zjy>)-p_3jQ#GNUa}_u%fju<}28mNIFCI2L+-Y z)($gt#^VpW**FHV;f9MU0%omKi-|rVc1*90eJ?zoA+Y;XW7nFlS3y~AV6~)V<=c%h zPb4l)>#*_Kn#YlrNmu*0pXYTJRFxTRKT0}c!?$->R%!PwVKg#`cgG8r50BeKP;_L> zDByG3HJK6b0tV;|X5f7ve!QZ}oFV?LU6M}uTe~Fpg5Lw=x%S%=SsK>6@m{)izO{3@ zxVVGkH9ObL=h=oU8tmGaSvf~s+gUEjqE*xDj~FhjXijxyzmL$EAS(k$Suz;(nJ*f* zhi?OdDqi(uo^GJ&yDhJA9L>db2bzDmR@$X6Zl<&!9tTd51#`eKx+$AaM~{e? zl{BZcFwI^HsKwp|_~>YGynK!>`9!~AP`+!$MUc{7HB`8Kpy_yBMey&1km<2bGu)9% z)B98_3--*SRA8mKs(!W+?AB-Z!O`NJS{(ea=qI!dpF`GXoGE124G?^jvOw!VPS!kRE9c83p+R09)JP=rBKn%hP{=OjX}X68K! zo88yJt=lf-iVJeu*Gk&$ryq?5)!P;*nAHr-oi$6P-;I7clRB4kG*>(*WbXNHN~P<+ z(0(HPw_K`lH;I7Rjbz#B5#tDJ7_*n8Bf~^JbO3gtUvey7Tb5sq+?_SKKkF&nWaEa) zWv+nrE8y9tEcPH&G9NF8K-Qq2O;Jn($PsbakQ-;&_Qcv}Ouno5{lfzZ4G}vUpDFW8 zK2K)X5A<^R`QCEa*N1-EgXrLDZXaC2b-70;t_U-Do)%4;iEx51{NH6~j zy%F;u7NWuS$n1k$-DEHn>PksDfG3<(<6ShjGyUnY1udSJAS}}wSA_}yS=r8CKz57Dnu2GI!zEtA--WhqiYh&!{_L8Y_kduUn-s$)}^s)dtFCcR6@{MW^J z9j>vd(S7|;5LuPWavRp=p1C2d=7~;7EJDRbnma2IP1&dZI@zIMGb`LEqq?hJ!druA z5a8LlnN1kbuqyw7C8IvF_70b$Z&SF8dQ-5szq+%61NQcka_MOQKWMkY!uzTRBoN+9 z87nkS5b()m60;C{9PQ7yTE7AP9Q-{WfnEPMiYzPHPFtpUNDJ@mnxYS`&EeF0o4D~L z-B7KKAVT$gANX*~iw%~9BEEG)2x_b;r&q2;isA+qxfMRS|5k`;K@{`!A53Af+#ehb z4Y9T}@oRhZRMkQ!$hE3$hont5Z5(C$jUlTm(%Gb}jAx=0kC5q;Qk9#NzldG>=%Z*L z73Uo{ZH!rYok}W1OmsS@c`$o4Z|vm2hDAd}=9etfrTo}D@gNy*eU(i>w`sRk*-Xkq z<>fqcqj<6T+@}I_F%J9sH`5BlW6xauaV;&tWbDnZUR@Yf^dCGgCy*S^lg<~QU!u|p zXir1k!gq+go(I!AU-^l@T|X)!bgYLJ($IkenUej@<34&GXY=yfIME->hf5x)-zQCw z=@_hKX%dM`-K)rC4Ac(dgqb#-0s-apxsQo_^#lUQHczZRG!!Z}eaBqchGjl|p2W)t=Yw z8?2A>od4W>`t@%-^WUPf>XAROR@z~&V|1rx`qHp|a`OOekyIKDJ@&O2Igdz2`Ll~t zhsk^w!XU|^b9$*&7h>)e5orEv(1KiH;*BT6li36Ddx#{8Z@5wMvT1sw}F1#do#A{2TMce4H+Q(-r{l};>b!;68j zRwz%uWVd$ItP{MvM|o32-7H;)wXhSqBY^x-9n$Syx92}X!pLED_5MSja~#+IXISLo zL{g;`6c5@DF0#J!uVd?oyFKlfTw$eB$wum&^LbzTnHuFzC6OjM6wbZ*qcH)10y_YkV;4)Ygrxi$Mb;_!pT3P=eduVSd*BP!7@O?)Z@Ebp2|5*LcIHqyKxVjFaPuqg{aX{Cu%6&YG*#vW=mhJniDWpPz zGehsB8+9RndbIcFE*W-3X`G)oz@X_{J|kOcAqgSQH(CB6r?eYw=ZWd-k;vs@J34W$I|S9T@9>@w>~O^I2fBUI}H|6ewWw4>ryN8@h`C z%iy-L5fl`XY)F<34dKg&Cwo+=_|gA8PM^wZNonEjv}n!c%U@)RLA8$O$QN}|gBp>x z^j^2*KSxb2v)|+G6zlShthgH zPNMP|f+FK(ZyD@~s0tPT5E|vfQSq~tG^lEwD}nd9l+asFJ0Lwn&Ov)M;J7=Zn%gMJmPv1mW@AB($sPjS;XXNI;|zV&{lj8 zYIgbeq8_-A{@C*QoenA0-mr#8%tQ5qbhqcS&fb8QOk=-64B zRfsi?C$o)PDzkJ9JW}#D!-c0$;{J+wDcyF4Es{}$Sf~wt*S|cXm5T*QB}+K!rA?Gx zUM4Ld*+=12IO>J=*U2MtNAk!xtW^lcwg9NOhXeb<4HdXTr|%$WX|(;ARU{7Jn^jyc zrWqCi`)}B2yt1$Q&bl0Lo0k^T?Z9?kL8apolEtFntBpg>^AHUl)IK<4$S>Mk?v6=W zgAo~tDndWwqEEabuqdDEMOm`4>>ov|m>IK^j!zZ9JeU??(MeGTS&F7RG-21E${)a< zYSL?%IT3Qe;&{eZB0!~_V80p2;ff^h4U{@`*!Ttv@o%8gA6VM<&p;nqq>NmhyigU- z^}bt7+uGT0M54t@2R-D^2>bO$#F-Gdi5r#aZhTkZfUQam`o`j!gfB5 zFLd9_(hNI6vI9c_$@jtK7OX84Hm;?FjQ+3nqs44Uo1jJmV_5-V8&aBPi%fwkW#qp- zvQx;3lr(71WE;8UW^4TRxlsO&ohzxwoheKGHXBY}?@!o`?i@Xh)uG3epf3qUV}BO= zpGci9S}>ya8{hTM({nb7W~O^0;iSUg&iJ;856Ct(Ug+ZBsnYiHlGRLCDg=R>oYSA`s!emW}{ z$|;8|rZeG=+*SHP_OyMZQI^!S(l?YU!)mFSCy%pt)&FVhcVo$!0eR;R|&;wnyDIW4!{ekMV zm9zE4ADPr|0bl>@lp_7EuDVmXq6lb1Ql2NRu+OY18wdrA4>V&Ae1pgv>zxgYf4+0U4YPOXk0m_u{V0aUj8p30l;yv2rb^-{ z;UoH^BDl)sBL#uoR@;RW7IJo?`C~KDu!eO>h#1h-liEvw#=qQ=&Hvm){=e)qO@(c3 zZQb2prgH^f?@t#2PG34v|4v&=a(#Wx>3kL=MvO+Q^|T#~hmEb#@(T*+{GSKK&CDn; zhf!&@T+de;X*8SOo_3=V#`sMB&NI>=BPnSCC{qrG!{P9Js%dX;FD^D&*G8gn1SSJG zIe~Ix{Z$JeL7kfO=|bhE&nM1=4lXXPDGGA6)I4mKyiGjg=zTqck5xNha2?=!tzCQ(lovCy$#?>jDJhf3 z3)5E(j05N|>BuyJ>E6puI2{}|8&IWD4$2F{#lVA)XI^>-wpxg8V4y@5d$PPWYv;;Y3N}Lxl+Y8Ln{uYTQo- z0B=YrSoKW4DABz>M%thdAz~ZMzi!8kXpDKko;Uk^zN;pU#H^?d?p{B6c3EPC80GN% zQ^d&Fn8)=BpO-g#!gw(|dXOPjEP#}8S^8f^>>)t?Qc_a3wzlTym4JPJn3%9yEL1!u z#SSpgCR4RT*22vD{$)nw{Bm;BogR;)V`IunN?crAYinzcPEP4sb#~N5v0~r(z>btt zsRae|rN`1cyjI1TfA{RFc4TTw6y$f?xwyGU4DH9D7o&~XDA1c>?jocX7HR;E0}m(& z;$MJr)~AK;U+z!6-(LU|7|iBMrl#cCQ#Q;oBg6U_(L;iB=)Xq>0U~CE$9|nY-fK_( z*x&4pqd8+X%|jP|^$QRW<^KMDuEKA#N@ulMC|PI0L`PTC&xo3DA;5TUm%^q~{+9`EZj4A8V@?luK2EnKA5(cS4{^~CtNf}&zy zU*G!r`e_mdqw#nwkti;&H<$O@6U#SRPS4UkO#iH+LaBu!?uH#s1F;{SYGhu z1hW$kk4H;UF~6+rWPiWW`CP-o;&h7V_3U`A7@1TGKUS=c1RMrEr>g4X_jIF(8<|E3 z8ruEc#Z)O$1c=O6Y(Cj(M^Xz>%M)wy^451Rm-53Q7|bOgAa1|T&iA+H+qv0UY;5f5 zn!rJZ<+tB$JKN~wq@*;z+UlueH~oc4uip=xpwJ%tIZX+K}!Q zt(4PcW!uPMp4k1CA~=v~w%zWac8l#7=^s2iJWh(94`tdD%lwUX$c z!1KkXq!y1GRX78FS%uOz`o&-^&S)EZAlrSAK&FXZU0sop(n3N3!NI{{VPTdxpS5*?IYlMwNm6L zd9^U_yx3i!PXC9vw+yNy>e>Yl?g4@Ym*DOm+}&M*ySo!CxVyU?EVx5(cXxMphat)P z-MUj(W~OGU=3n>ewYyhuS@N8S2bRO8L297T|b&q`^VAp;|>^R|8%2!s`ZH1C@|q- zPx*M0h_Z}ui2|84*3-MwWqy8sYV?l0DC8el%J(9v?WMpJ+Ztlp5n?Z*PJ7~xl)o*bo zkXT*F_yhIB+DJhneHfaV`_4WaiyXB@?dTD}0fk`_Fkf|7cdr>kf?SXZ>1;j8IivaqG zINY=NRk)0g0snZIV+Eq;O-)lBp9=3&$G9bUy+~>JRM2hF(O3bw6Cfy zN@1H}{_OE1C@;)Kbl9YTHUW6jn=a(ak$?D<40LF4CkHu0ip34X2^mPkKcrvUwb6<3 zU*TVj7c$fG~xUQh=EVojm`6=nc(T>emr0%s@T zKh^B;zM1>oqRay(A6{qi&+WFod`p`eD%Hg7|LlPL(By`4)dZ(5aURYN0RUJ7`)ILt z-ktt!g48xg765QX{BVLi=JGXw%Ecwl%2Hc*OOW^-_g=l6ynO7B5s@);wAtPEsa7BNE6a}OU#?(jA$>oyd=j_i zpa1}h!BV738ZTqw+Tvg*DR8MeG$ZG5El*;U`XKtC3*sO;JJm~{(*)f?+lGFMn<0&T zm_1O#>a#M=%{w?efqHvoS@_{*{M3$-mB#sYJ@#9k{jFz5CmOz_Bv8X#M5|bQWuXN>Nk4(Rv4mMtn+$W(X zbhJ4$zjbB!1#tiP(k7kru2n&GL| z)d277Dh|JR@3rs4zB;gCe}vg6#aDInes&cX(XB2_jD1lU1S%BtTn(4&aHFdN!!vf< znM9IIB6Qpf&Gl2v03QDNz2o|qwpZ&N_ps2ZF>bXl8ia{!#Y40Y`)OQea^`;b1xhJ|6cxS9CJil!DaDY*vj%6#q@d!>hnk%S_VN@s%Y zWrftMOD6mvRHEQXXiYP=2CiB74v<}a^ z5v>Aw`B0i5+w})4jb^f1&`vWA1k4TLx=`|lJP6-A1i#V5w#}oG&*VdDV))Un&m@tC4ADm8`;!P3e z6JHSk7a+_sr~;AieG^N3K}q9+RfhD3yDNQs4aEp4gZ zHM22~kvT))*C0A4irB{CiX=EWB?jqLe`cf{jKr_9B>VHKw2IM5)rK{}2m&U*m6n*)NcqE1Rd-6=4^b`G{i0XXsi}J&SLbax)~B7vMYgx| z>C;XGNd;Sm{Qq;k8tWV*DXov4?c>$7YR9uz*X^m`mx$Q8QWPjmRw~4E4Q4fLkD#t< z)%z@@r5S?Y|Dt-@JI?iQQM6Chkx+0Y6G0!iFgC_kNxPZh8o0s!EwkSEeG#8WK*7SF;nn(sUcV=T@n8~q_Xkn+_}$7fIo|}!bGL} zNWFg9)?aM(CgwlLEF6RCbEM|1th=RkMaiz;@w%vFbZ%`Nvh$b$1$(bVH`8L?%Hl#P zhORwd;^3FEn6pA$_HzZLiyav(zX@EC6#c+oCn9Nt3?+1+C>BA8g~RB`7(7=VlzaoU z7QEOai3Rf2n>$XDUj9C^<*KoMVd6twQQATVQM*aJFT5W2AoD$o{U9&mpyJTc0fZg{ zIz*B<8<}W03qYqW>$>v1x%x_thVl&a^7-rPC)@?}mwyX@eB#I~dpGy<-35)eZa z0bk;mj$MO~Y_v&I%)W#Bm)IF=#~i*J9*euke`z%8&4q^eM1+<0|cZ?a7PEV^SJiQ1Km^u3Nwr z+D_5Hf@6)jU5LvnF}Jo&syyHaq-agPS>9*8Hci~L5HxYVk(m{8yNEROjKu3bJuh8) zp7rQ}++;Ok3D>dj(gZOiRMK}31$5`cdMN{Tei`KU#RrJDtU_iGdM*k_LCwKg62>ZD z5|^TTzmP30Kk=i1jUzvimtR=P$66=e5kPu~P!~lqnBV2f)nMsGTK5+Uqx}*!uTKy4 zXLTM!a>uqxtqz*6%H5DF2juM7*o=D_eRGJNyvS*0Z3g!v#U#Q!2+d5JLI+L&0IM^U zaS0|N9#Vw3CRQ2FQ;)vG4m030-AxZHJB9`oJWqRX5gUS z4Pdg*Q90AOkF6?D^Vs9T$=RHD&f)Z+Xj-dvtU~GG5M`ix*V>bL(dl)Ob3L>;a!HZP z-@E)=q%_B+!sc=E*G00}V>zo_GEvhD*9xzvS3tLAbw?4#f%u8?8R@Urz^Pn7R(U3H zq8xr8)zTxslNm!BJR$Zz)P|b`z4bEiKemgWS&~vtS zhIGZ7#9X~x!X0yNtA1sJ45GAbR2eC3i$YOK9P;WHY9jZwzT@ z1s=WoVgIzFdk#nSur2CQ52C2l3(}>z`Iex=+c#tf!k{p@(E?t$dAHQsR8BB}`eDu! z(?_`Ni>_hB=&u*#UM%72l>M+Eh$wX@qhwKBSmJgW&t#fzTTdU`6!TMLi-vkqX+IMl zh|B0NfV3Ap^Bdfb@a9rRNNZnN-b#!s>oeQ`g6j1w$vR9WznF*f z@7vKao0i(ku!@T0W-iiK9koe)hp(VSX$W;fF58TFy{coZmdS+v&wINyUB(6CjVY?T{M6Zx8Y1w1@pJ2dfj!=;h~sgPUkK}SdaWQ$yC_Fcw3#)Lhz!16sp%8>#%_mHv* zX23QW06Jd zS*hF%3D`=jTKB}I>o6y^9Gd-?ubuk$Pp4v#Z5p$eBbTl*!M}2y9G8QLtkc<9C6lQ9 zrgeMW=!0Xyf1h+`9RJ3BcMR1eo|}X1ceIuJR981%S;RozMTTElz@*144HjkI)m`{j zX+6MvU6r{6h7b&_3%|Ig*y8;*D25A)dGNIwDBwT#kzviK_%Nc4y79WT>0I3aZ8bo> zjZH<2O^;iUPgEkFDBsrB`Yovigmh#>EgL~hj2e7?hSXZ=x-K)+hDZAy+dQwJ1lc%V z7vBDsu>%USM(AYW-8g`wzb4B!#N}E`x{QqC`zF4nZ$1?7d!Cd?wqw=Y15L|gwhLl3 zl*GBZYijVgX+?q$7#G`nqXqodF6;`)Nyy$*40~$_m)Ku?Lv+2}y*gd!#>!KkW=5xD zwb0*BoSLd|6JnHRZ?cJ(;pNkLd45qG`{N5nl_evY9`0F6kkLyrqUhIDl|y4Jd}ApW&mdF9?p zltFtQ?*&oc(0ulc`5g;wVHsKrgRX6-U6QaYSoZK3t==}x>M;y_c(bmF0tZFd5ezcq znND~$tc@vNcfeh*1M^%EqL!SfxvB)R^{hAUbDo>j8nuCs9@p`dBZE0@I46g+4I8JAMeWTuCk!_Lwh-7@bVX{ zGb2qI?eo{peK3UABTF-xQ$q!Xt~s8^r`{Ld`#W-CWbK39{Y~D^`vV`&_r0&1A74Lu zs>qn>eWPQH4OB+^6Kt4wY9uaij4`9>O}>0w;W+)#bko(Wc6xo;gzHl^F=2UgFkzWr zuSGEzJX6|x&NMt3+QA0mPHdS744)8Kc9v<84VO*^>*LqTL3}4q-RgeNaf9n`%T1Uz zb;j;5sX^DE15EH46DUJji{_2)u3C+A*+>zYd$`p{#VCcQRR^`YDgOvLTwEydBR z#w~ih)fCd6P*&gG+fZJX>8enGU`e{6YHMQ=#6{8#Us+kS{S}$ATF>?ek5en)!d)wO zaYP_dW*iN6r{&5z7veXx&HScJc)-%^B_lV9Kgfux8j zQo;s<2@sM`PvigqpS2@XE2;tXW0f5gbBp)<38MeIdNm@xH~ zp>#)rKhqvYyrX{HkuT)W!zL+QNRL6%%ciM}@dr{~t`oj}HB-=;LjeHXWmK1$kpq|j zJ79*@w3|ub=e$_sE)SNsw$O$E00*fsqae~4;6PC#W6*X9Y`h0CUhhZQPR-A(8Tme+ z4U60vSsVbLxR_Uo^cNru-?_gjAqT(FLrB=8*sx6}5>`beA|wFN%-3uSInM=^%~qE% znB2tC;f3O`X=jw+Ys%7R{K|O>=YY?n>`g%dEo02qN_{yukj+qLFqW@{Q?*U zJYJk8&Mbc#4%f9O_3g2og=>Bin_paV04u71@-na9lS9NwW0W_FXGoyx}w`S+=b7J4(im!O7p_?9?cydIkUhZZjFS zbEuB|V0DJn1gulJNCnl67eXNfEFdI~eWQzR0qXRcB9BIKSL| zwuJav-Wpz1DH9E3MxJ&vcw4e8BlCvlcGe)OY>y2u=IQDea83V{znCx@D_or;drlIW z9U-239_P{0ivKnY;MoM$E#P-BvcL=jkHDZ5^nQ~7_%OxUlu!T!RHICoR1M@YBpOrn z>a0JA;6+`h;8YAEE$OZO_o&B9ENL# ztKKK!S@hJvKUR5N@$u17GN70ejL&=&46@Qso=}Hzg4G(DUr5kO5N8Ojbv0bQr`TqV zdi>4U%lTNL6n3F?&w^Yd;OU42wB4JZ07*1mV)o{%b)w^SQof9;!O}N!q z1sZDwFj`q}fCP~JZpvifgfzoBX8VVzf-kIIl3(zuYuh$rF>g@+)i@(zXdgzydb&FN zEFp*xh-4HWxx`yrh+Pt^9AoQ9-Y~uWVX<~0r!zq&P*P18(WFBm1-gQIx~>G!8PSx5 z>|lr2na2qYwKt8sXuqS=vQ@CFJ65vD4ozv0^wM@&q{Q_^)e+aXrWaW(*eR#Lt_DQP z$vf$>U<~`OE-`)BU5n@ufT`j&K!|y^rmHCa3K=-U^&Gy-^CJMmc8ji{!Bmb|GX-8@ z&^%0C9mx0il;ZfgiejA<(vDi_f{4&?!-i5~!RkdlB z^-GMXBbBHa8v*Wl$YrPT0sz7G2{Q(u+1Ho=8s>3B*XFkuc}hBgU!FbfHJh|HRZVVY zZz>!qhs2Aw?}R$_0r*;1&- zLG*6HKGt!=U`vLfAGXKBb74|@El>2gAI3P?ktvY{ov7t2D4%?tDS1y^zm+rgCD98e zdi2BWy=*Uxs=^G5xkXQ$@=OtG`cbrW-h2_%E6$GsHshJo{Nm+RcF4uVKBJYDd+rVC zu)1)E^?+GAjmDJ=bYsT+T#j?H%6Z959kj8;&T;tB0r=Ac@ANj19~`Rt4>p7qn2fPm(<3CK zd^mSvlHPz?IXB3sw7L0~5n1&YZ=z1+@j(UcFu$X<__gQp-{(DpD{k6%*qno8dn?|#{;BQdM88IVSH&!`B8oGyLYf_wYNhL!w z6Q9|`{`lL8pA-a0gU-s|C(<>3U}1uO1Sn#arR6EnBfe7>34<4@oMA4J+AA3SxdhPW zj<|JWY=0t}k_sIilvqqTeOrF34<)Yzx`vnz3?bt`|E(^#5{}IQ$mv1GBmO4;H?z|<9Ie1pn2he@%n$Nc0|4LP zE`cLVjwtjukz%1*($9qR_4PYn9r1Gfq1%vNC4^0x1)z|s&)WQz9Y2q;!F{|4ZQzh0 zcxXsoxiSOdFx4z(itvI-dSO*Trfn{LbzNep)(A$Q4EILEg=5b2-8-o9rvnioL!uyKukSnG3Fx&CRmTZZ@tsVeCyYFw2v2B@UXi;mXl*^5X7HROwh| zQG(*g7Ti%Z8uXxm9nX{R5IItvcGDfa?Yr<`no~Nh4;!Rj5B@`A!T~&S-acQn zg@;q2F$vHrslosxl(X}=XElenMK5C$hr=T^1(}gJqZCjrp*xE%l{~0Ow$+ONbRNbJg zs{|7<<#jPS@|?0bgr|9515?3iz0oN(hgo%}OtJ~Pj}LtO5e_#hFjJxhTcveE3g`zo zfHDs8rVqbsC=oXOX}Z}=-WIYc#PG>cFo_lnFl)?5NNzw{>eg)C)m-#Y)uE-XcsJjP z!q#04d>{sEIJBtuKC-yHixo?_SB-pUjO9F?M?ukjwLj?EzM*VS%^B{2u$b>SFBCRa zUW$3vesZY(&u8>HDO4d+QwG61x5xxqlXr3*F~FL$aUXo`6ayIX}Nmp{HF?mA#bNa^exg-iABh}aC{qEIoIf~E2-bqLqp{| z&=;uwKl)OyVz{YnGGA>ay=#>5nBKVcO4l3_N;mSyQ@Fa`Bx^CrylyLaTRoXN!i6WC z9Wpx{P6mE#1$kQUy`j8w&6d3zsejM*`Tkmc#`q3~eS@W|-#XR0!Q16`wr_~;@Cy+V zSP;`MHLiY5lZrr#WE6nTMq%d1@a8(R%#6aJUvz`v^6;i$w82Nd zgEZ{Rt=K0;+Be!#Z6+?qxT^Q-d1GsyVKL_YkA8hPyRhnSJv~}ydZ}J!Yp|3lSqB1{w;mF}6&XKboK(Glwe=_ZQU+ee z^_`-mtCqVjSZ9CP4CGkmr=+SP(q}tvJ5?*g(Z+M;w z_nBLA${}N(bR4Q?>4I=3y1ttY5ZH#1oEKZ~&-zYp9JxIHOf>DI%S`3j*TQ2U0&nL) zUr^$l9>%V|@A94*6g_&BaZQtd(mP7y`b2<8c&ewHaM5Kh^HH5nDZaUi zYf?c6)4aNSloh94X7KJdk+X;XW+n0v>6bUgKZL!e`i!h^(_*M!SV!QrkfL3-C9a@! zKONOz=0Nw=v~$T_^d|Zi#|~I@81OD|rj913gsITn+GW>a-Zr|a-s5&qYzpeYMFRGM`KN@&%Br@$Z5rnEWb zfrRKONpYa_}O31a+{x*8a#lp6-qtzn`M6uH!li9X#qdOi6UPrbxI>g z_RVN5b`onVk<(k*j8t+DUk13uDxtSpSqcK%WiV;{an^n;0 zsm&?TcZFU`Pn#50P@J38yJe20Epo6aa+ZUdq`h!z!23H*!)w3vqNs`uq^ zmx{`;uc;AN*>6sH+&A8k!@bC)u}Px9RP)~anZ;d3)7K`)-AJ_#&+Je zYS3FNUGk5cy^|N)Upru+8pulhYmvxz^R$i47FNLzrER{-1ZA!i?x?XfbMi-|@)LQ@ z*hZWYwxI3gLNHjI*V}2{gr<+IakaJRN)!QjQHC#5&&%^~PtC9Ol744Bs~w&@1lM%< zV8ZW5`cc(`5+~v_C^W4xw`Y7*wjh9P@_6<*@xsDi0Tg^TdGHOCx(g;jz;$|X+@GqQ zaO0w_r2AMrHPs@`{CcZ7K;DH!KSm3xP)tvuQeJNU+tRNdHYxeO^=^|edwh?| zMuRcH^g{+@%XX@>?&=X4gsOI5csj3LCz}V!>f9JeMRG{X}#9 zhhj>ccF)ds@KT{MCvkz*^r@AtHYve7LEP-hvd@**zU)eXLvU411?~$MBB5YbO2oI_ zkiIkYhhZb}{Yv783g_Gnq>igyeBL!HlR0ZN8VBuwtLiK=r7?!7#H*37#qe(@{uU}> zs$*%4Y8~y0IF*cdCz*l^KiSuefDVFB`lMM)PMnDHWH!qi!slydkqO@Kn9k0#7dSSH zmf--MeuG?uP;v1mx?IcpnCem7VTl)~0xfCq-b(6lXbN=YE?DzCEFHtZ#i+_(!anHf zg*6c^XjNe*X56y^+MbK}eWM;^Qd+0CO198N);26Xnc*~Yq7h$8({Ppi0@4SHdf^?;v-%7uU`26{js(Uc4T+R8YJFhV_i@XIL@P5sfy7-I!R+uw6yNpM+Yvfx1=WS)(RyKZVf=Vw3PY;5B` z%);`x>z(TGDK;C>Cv z(1ajJ$^t0W-o=qyM?Ixbuj5_2&3>vRvl}(nap$%^wQsXjy$!=51i#G#yJCDSiVsz_ z{*s~9!`ND$>mv-vvQ9lW)Q$+mNwF@ohRK0`!D?>@WD-r{5WCT(J@y@_2(xk?! z(Oboxq4K5@Lt)sbh!M?8;;K(M)h`n@k2zL}xmIeMH7NeTAX$+jem(F4>- z5jB>sMq+BI)nY=XJOViKE%~l5hb;@sO0~Zba}IMMPF~&lnBn>;0?r6_BIQy|8%g%S z@4gkwMz(as8McSdVe|2TeUr{%#H?h!ce2-*w&+#bFHj9bAK2|;#iRiB58B5N6%E3D zJ6$Iuga;A#xu}K6D$6>byz$`9+-tkNuN=_DZ^2AMeyyI-?|G?Yqg8rdD;kvwGU8tM z5~aMuZ@(ZoI@=YSq~xk;a)4B9v6W&!D$WpXZ+5%ytOlBk$$69_)8H@C&8Naw|j8lKJ$JM96j=l z$@A&7tNT&If+=-!OvxwjJoM&%t9K$vwMtQ97L|2zl~ns;WNnGolD_f4EmA5Pq)=f~ zI003pW)dJ}n%@L%Kv11BX^{|jAoOhaFgWDg4Lt>IY6wC454=3N6sayVkC`^aZ&9XA z3I;Qko8>B@(W9hR4@Of#pJ03BEsd?u5KJ`I*;T#RasX$i?z!tKKg!CQWGChj)kPfKL! zD7{T&*{`p4fx?;XHn`2g!$lRJV930{yqli1hR|y5oT*AHV#Uev&A1p9yfnoC78p;H zDvL{W+ovCI%8om=iYMJ(t2MTDf?Tl=a|iF+{&SYIB}KSEfum+IoQ0%8gk$#XgX>yA^z zcWshcTRe08yFSx|*Xx6SJnN;a5S{nUZ2LmH>2uhUOuYh&?!`6TTVl02#8F%Zs8OJz z%bl82W1fr|fE;^J)Rd^09&B|kibTkQC~B{SP47!^KqFgyLw`Q*L~{m2bHz$oeuQIUpBOO# zS0Nb*SdQOx8+Y_r@c*2PUlmG$55hZ&bh-rF&3|Wb8Jj%0gUyzDIUREmq&Qt&QLuX0qbNOR#m*DQ z`@YRHXLAT##N&j$6#&U@*!k}VDrmOn zEUPX)y^>ehUtRRFV9{+eU-glj|t;IV1OXrBpRE@h#zBA&fbrD^qTI{2@(ZDm-Km>|COgXTmbVfja6 z3uHno*Vm}~l1H0`GOie&9LFC}rpQB2dR(jV+eB~W?nRIAef@BMuMm|NgLU8m#XP!J z>H2eDN^Q;i1dV-I^S4`I&2yv9npA?6R@tmGjKvQ2er%A}GpR~*qkHF3G7`tJ3<-w@ zL-{JCkvw(MFRd4%=iufB9_QYW2BGw_XXg{7fE@l`gH!z0WydA09riCtF*9ZznaK}F zNrD)7MXHyx@YiCaUbg{I9XbXfyyztB+q!rtr!B`zT3?6;r8d&l+3{D9 zw$jo++wA22_gGZwsK$ml5n@?mOc#R#K7&RbF)j7elPuNO>qrQ1)_|pib~WH$d#NfX zeN`Je?>7&YAJX@k_Z9v@jo@hA{HfZ<^92HIg@j7!D2}|N>r+37?7|4Sc5f;aIiUA1 z1|t`ZtIZ~NRSVi^``&nei^x8v1|#c};;55ivE()y2qiOk&>0qnbbPuPBFc;O44!{? zX)W&&N9>ZY`wlx%~9Z83Y-ewR{bnB z$W0+>5rpjSbKG6l_cGuam!(6w+5q_Ij-ar&3?d*sSLB2wwL>W9!#D~Jz=+I^(O(TR zo-OWD!EbT+y|twe4NetQWTq2>N@jl*7GC$5BXjL0{`autq|Q};i0n#WS`{O$wc5>U zm_v)~=z~eQHio+ZigXdR=2xxnWQ;bt(&WsUzZ@fOyk1}+4fYyeTj>~I?}cl+Oj?hb zjC+vSpcGTh`!~bmoV@SP&7c>{);QJ$Aln)rN2z1Pn3mLO9xMObpJ_QodQI;yIX1g`bGW3Wv*li$G-z+YtinoH~zZSAt? zd=G%JQNrHb<&B_qpWh~Dt$k|n(eCU;xJ8y29W*;q0vm0fQpL#C4?|{qd;H%fqw4rycn}9} zF1iX(nx?JS&!?5&nv+eq?lo@c&IizNDxQ3N>bBc%}j7vI=YU-h3;SeeV26h zD(ExJN8VwwYxOf=n^u>%eB5wOWo=p`vZc)X?!-FNbg;`}U!2Zi^4R<82BgLFjO1Fr z_x7T(t}xS42?hC4Kr2QVt8s6o#o*@B5B52)hBh!>Tv3DSX0T&KlTkx(WnrL_a@26} zg`@~*wQbmIg+_$E=_EDlkz1wc8PxkZxq>_ZL6lsX8U7kZ01g>e`k4ImXooCEKTIp33J$s|U<@n*mZ-dI5HK@a!+~p4 z@cpK-G4&~H$9S1;o74Oy0SvIg7V*w_aIq5A=;rdzJ{IcD8EW8)Z>&Xk%&1GCzn;$v zeXHXis2Y^;nrs~2hwkMgJuV_Hq4!stTmQd-DZMs01YS}J`mAA$@VPrUd$X%ufq;A_ zoPZo%zS_J^fl{cpZ(i|yq{Lqjz z?WR7 ze};rp=pb}oFS?Bz0FkGholV(OHfEh>s;1@Sz0vSzbxl6c76usCE2hJWKg8Roq@T^? z!?@yr@Yn6ChpMKeC{mr6=Pldfh{<7lc-|N23*E1_&&4f$MMLoHOVi)PR8cOU1)<>a z*47hI!PN9sWvgb*8|>9m`36#ytMb0EpuD0&CxsTr@D#ATsHQXU&c=HC%HWJ;V}n93 zk(bFxLxwqhLFq2&c!^O{bxsrx6;rj_m#M+dFRgbm3tJ*_9P*Q5?rj~_hW^>I=OlC4 zp+!qLAthv?UrccI%V*(Q@JT-}d>rIxwuwlXp8tRFp^)kHY}(_q&AiKn*fn}tuw9R; zU!Q%nDO4TQFR9?Z3WN$L{PJ5W4#H5^yW6I+oP_&abZEJ4EqtQ?)Tc-@)0_0Fx6lrs0xRd^fnduH@)6j0vqRd;k~lhE(@m zzw-fOvh@q(sn#!?8_ADeLp@?1mU-Hq&bU9S=3 z$vCh`<%#>|;!Cl{=X-7tk6!HYH}fVhgRIZ3iAnE<<~=@`{jXkrS~#`p>XRXLw2IV> z=Z3Y)>2uNQf+3T1cwSW({)1nw>cm~sfrC3n4p1&;uo^uk`GIeBD9`fK4b}7$N_yx8 zq`PoGXXJyt>Sv4E{X4Q5Z{+w$>wkxGw7RcVmcz<3WVD?xH|=SstDcP3SyAPYyAp5I zSV%fdug^fMVs$h)1)j7l`l|c~`FU9wjeuQ{?=Y<$o9LBj3A53}nRU1z(D%l{CbSkG zhjCHn;(*1&wJFUEm+V|?d~yb zN>mfZs1snBEMDxz{bDwX37+r_V9#|HzkHZ>scI-O)x#H<7KU4RzV$Ul4_Aa<LgODpq(X3~{tiWx~dG#Ug+aLb1I1BO_cx;VI)&JPVf zSpoFm#IK#x=$_Ty?V{7?m#(SzbL$;MK3*rrmLfO93Sr3C9cztpryg0XOqhw6p7*#V z3qB8B{>3dxUxiCgM)t-qrB1sTHjDF|@g9%%R-wj;wiKsyFkr)GnVqq?jQh3?0;W2TxyreQ zIG-(UN|UQ8ow2ctIS|&Jr*HIr5C81sIBb&AE23eQ8$+14B{&$rTQ0i1 znX##wRHOf@i2EW!;~2I4CY<<7CrZq`tUa!bc~|ahbG)l!L>64Hw2B5nKwD`&fg?nY zW-hRr0{T?IRE-XoRF2@I=l%edpT|fG&b$uXgoYEa^1Kmj>J$PjSbEi~TX;l&Cw8+i*6`}YCp^T%Upp9#z7!R-X{pKKB%rEjXVEk8Bq%+mV z7D8dFS<-tU-e<%vR$=mA*X01d z3@18&wZa}$$~X|f6H>=R*Se<6_6aw9IQ;AYyNsEZ#DJpwHY*XA>|7v!6JS6A3eA}Q zi=z4;K9&CgvWWwan+G8Nw%c*O9LRIdZQ9hCV|-BrTn zQO3C9YhWgV_J!2l2Der$qmEJmsfW$oKDUhT!#6a{s+3IY)A> z6yblA$ZBMS7ttSL`UgV!fS?!-{O6b#v`WhQ9|w*vQcrdJU%E)ZfiCC472@>Z9pOKz zj_E^iOz2qk%6}i|xe?8fi3X8QX@mdAz9P#{9Y^&aHR1GAUjAutV}UD0Mg>__=2Dw6 z$gciFa{b#w-~GgH#u~FH9sXawGI!1XkNj7i&Xg;zBz1I&{(9!9bUh>0M=t}cDJcr( zkBC?9wizQq;%%|>60IFp#T#6C1>W0p(#GRYFGxP+zmMnTqdulOy(3d(N#h)UyqMP` zt1yz*(92m$>j(d%6AyS*nQZNPKYr!@>3+Dr!FyX`yK5V6Rof`|NN(ARL?l3#;fraR z_(6>SA9=n?Kef4M&Mz(@^LE%4KlGXW{Ho^`7Hctvl3_#>%Uk6Gqo*UeK$skJ5>Wn( z7cA1SySk`@UhzQ_d~R-d>%>9zEGuMm{on3@&{wxPvh`-nZTBZ^)=9ul=ufxeJ1iFj z{d2X|S8dLMbHor_+KUn|=jcU05g>1CWu3QIf6U)gfycr6rvq-j1sk>HZM(f(3NhA$ zq-hVM6U;dO8?fq+U=)sX%+T{wSlDY+aUtxFzk@ga(OtElm@u>Cl?FwFb1Nl-{*8yj zhoVY9Q^J+w)q-EgU4J2$yhgAma4ZT-@kAC|nHLKBo&23Sbiqd7it?oTXhK5D`NV9B zORb+BIlty7;BDeD(sep994a6#MrC5H6;xThFYO1LiGEdefJ#v}?2f!Uv#m<`fX zojKu6x}C(`fknk9GQCzu<{}3uB9^`xQT)~_wbTaq(|Le+*dE3d3${4B>$6wf!G12f z6suMF8U6jBcIy7O^Iu2ZIZ6lrw8zHnVI&B=V^m)~?f{F69`mp$!g6-hw(~ZdiPH+G zePtbCK6KSU_;XY8v^#xFr3CSuQ-WTqMz4b`{hps&vMp9N+4F)=^=V-}6Z{8*0W|JFN!Bt5($XK4Dz zq9{@w;fZE?+^6yonc~c>{YypF24y~+PavBTj)~9UWUYW)pKs%{nb@KznzV?j6UF5E zKIz)tZBqJEkl>H_j#OF|JaCBfqd}RZ+Mo#{Z%JPG`)>@|qd#+*96s3SRDOc{BShr2 zW573ir1>J?AIAA-gsz}D6P?7vr234~Q@u`~Q8y9dyzg&iBcGmxob^-qR)u`}MDeo5 zve{aI{|9sL7+>l4EcouE!;aI@j?uAgt79h}+qR8P$LQF$?T&5Rww>JM_dj#y%*;7+ zKXdPWao;@~&w3VWRn>P@)!xJjKu7koh4n?_%bItSqC>tqBVmUBv=HJ5 zF)0Y^h1_xvQlFaMrgGW9t6XNSd(%VAIncadt+f2?;{B^VF9Wy&am+7j>R7kQzYUr75)jm6aqNj={AV;sf0j75Gk-qf=?A2e;g-7lYw(Ur zOOj;NQ*DTW`4OuS@o7g`LKl9o=beJ$0Vk2DLY1M`)nz}NZ`cmU=t`jc^?{uh1o~y{ zH`WtO8~2VPr(>^saM$rlZ`0Z=TqYbYPR3$7samyJmkpKm=@OUV*x1X{3Tv|}r6UMD zZ&TfDiq<&3Jgky>vfv~nt489Pn15CRjwB{d7V>pa66c-cnBxcBW&Cm36*Nq@ z03y-5Je6^61BD(69zrRKrO?IGQ(BJK5m*3E66)>R%lzVk^8J?8UJ4b9X-@Ho!&+ho z3)b;(=OO(9;;R9u%_22@&$=j^6~jecTaxL_$jdqt=N015T7%`5r@y|67&f0ecHl$9 z#F+5Ls&-f#(wYIkV7er4o(PlV#%D?XtD+#OQX@MqRJO_Xs)aRTY<=aid(RY{hvD*Ch5ld!H7 z>Y0=T31BS9|5GeHRC4Sd2s8n*+_jTNQBf<(5keC1i@}Hf`awO+GJ``FTQ9=XqReK% z7g+jLkmhr`0s%DxPU6|gB)&ehQJw9<6hcV>WT_OY3*BMEJ40KApAVeC>WR~(;}mgU z8Z~%XF(7gNQ+(kBLd%;c-Kwl06Xz31NG(Mj@U?1v{SSI&l&a@Dow6H=Xl=kWGcl4H z`tR<+d@YGUD*@5M!sg0k%F#lpe>CyO9xmlRosZ2+llh&pe^wmP=(<=S&}*+(_)n>7 z;Yc_a6S6fuV(}O^P?6IB0$f6;4!Xz}daGrspHobMC`d)ANaiOMONl=P-T#{456G0o zkFP88uODs|dS8s=Iion_XTQ{##B}VwPZhqFH-Y`x6zq0vf-11mpJGi5jdLKN%hjBk zK)fWbzlE=@Z)(<~SXdH-CtxJ>| zxB7-d!XdAuwOMzw58E%wy-(C5^B;>QXN;~Nwh#V?Y`80H>?7hZEkO4g;5{eNAuNb)LF4mNay*QatC*@h^eD%W?eBiI^o&qX^` zLW*FOSMKa5`;Xbe4K}29m~i?{3V(OszH`3%<~fLa%^f*0mj#I+ zn14}9d|LW@zcpzN>Fc;APVvw`-rvlnL-lJxm!vHtP~iKrk}to#8Mv7N)W126Oz~M> zvbuAGu|+0SP1QPL>)0VE|75qf^FV#x?trD?Ar^i7kEO^IdU7Qq`PUB;4ury&|1P@u zm!rqmelzYQIbguY17#*I^AeRhBoHil|31~}yD|?6#vzM-zjf0j4=*639@+cmMrX+g z;JaH2GaOp=fKGxPfL3X2 zziC_-5-%NXyg-4!(b&9Ks+wJ*!Lg1M2TpEy*r21%o$Tv83$%dD^+T1k*EqUZkjxdE zVhAD}xB!Wh!e<2Qg6pTx<}*p_(1-t2Jjx?|I84!QycauxE#q4?l#5VuuxTQa9?p|7 zhyHcV?&NkLl-pD2!}r`pQ`e7sJ$K|mA1=GrBY_T@;jXeBm`g|}pT1O6qfJXDmHlMl zM4{2~4LnZ@+wUa@ING~o^XsuWT?rCO5-;PJ%kIgMLIGSk@4qcrL7qHmOZMpV$aGuV zZ?8lYC&F@K;gzA;6lq3BCMQSxuV*O92W{Q|idhvWuN6?yP4HHFsX8aU`@*^1RuEZ9 zX>4@c8_UawHVF~c3}#4ucw22gD)H|d_xsN0hQ|=ybax zoojHMdYe!v6Q0Q-ooT^2+Ml?sH$wtmJ01XFpI*x~6h|9Ip^2@!wmQ*h+LlHV5c<-u z>ko;j+FLZq@9X}xOf)Sue>#n44Af|;mp0z}{*Nx*Y0I}|k|W9$g=u!9u4qF_YaNg- z)BZFcU~|X1w?6 zS&Zjb7mr~!?uY6%2^-8WJde6U^yttSy`t^Xrw%_Lh^k_a%;@KT-@oWNF<`07x$in0 za;Utrn42~K(#CQ6fjwZ;$JjsOB^nvD|Hl}mXC>78@otwNV}nz-j5;*ZC2-#F9eP`* zWJbj0Q6?vJO_eP~%F|)nNF>by%7BfCv4UWyD{3dexSIFK=x47AuO*a$Lr%0p_`u^K zg20f=k0>0M)5hlzxa~me|25nsjm1q*eztbpUfy}8g#~oG${4zH8XgX3zi}1BCz`+} zg=5OOrku9Z4onz+ApiyVT=g*Y4jI%{6@bQ8P4|_0E@OfMAw-#Ov|3BCdasV<$32@) z`YY9@@9*&5?ZRlls%{4tMqdv^*-}N9=QJ`LKN1rlfdPXMx=$kaWxw#CY>C7Pjc1*eDAF^ z@LI8+6>e`N#s%T~S8NFO^2Y}E-M)f$T8|zk<%x;FB?iLDv8a^LPG~Vn%HcLR>Yb>u z=2voa)y(3org^4K0b-iwSINH7Cr+wpO10eO3eNp)!=Ku>y<>x6 zivh?}%!=FcP>i=HqQ2pLgRE%{@bOWJI!NYv-uw_w@MKqeG;c)n!OSq-v)O@9D;0Y{e zf8VTxTmv6tK^p5DwtWKia*kE=v>$0xT{iJhK$c){Cse_QjOlL-W=dMH6El}B2}X`l zyQz*KS+k7U7q;6lVy})EqfzgSJDGQJtXMi~nAEvU>Wvoa23coNW*_p6vz%pu(Mo*q zvFsD&A4)}%?RaCD@y;(65Ce8s1urS+y>0Q|zQ_naSmBEJzfswRWeXR+KO-cp^i?NxHMb;ZS1K$Z)C)1BoHQMTX8A)p>>3hoC@KY0Mnpxl83{@u@y|!C&s75X=YNL?#!Ye6 ztStFG^$S%w<&s@HV*~ik70&+YYWJ8Ww_IV!_7KtX=or=d+riZwo`}{0cm8l4e&+p# zTdVqu`q7zwN4uWKb5BWBW-2T5mSgEji%k3PvcN`)_(^t+*gP!{DjY;6hrNDQ z&rOL}p$^a3q5keK05UwoG#tS!hNO`ACKiFm-a%mt1N@p}0=3e`7PDaXHNE|uMeUW2 zw%6lmbZ-|?1bHALf%?M8lK(5z(baknM6V_%a+=yNS^kka8|!{s*)N*{7B6{n~Qs9`j@cL}V7CcoM}bBu$S~5-mg1b~f#;+1o>x zO+GO0?1zP+7$CL~`mDv}P;7DT3q-Csea~8cmoGT8shHzSXYqv*C}1F6evG(8HYv>H zXjd9$fY#lH*(&WCF?_5&aQpYs_W-lw;-4P5lW(pd6@*;Sn~r_2)(zG@K`99LC#@_G zQ5n$!+>FToL-H+VErp|>cABOj(=5v9cAx?y19tPz@j@2&>5AR1fvcci!5tDA0%_s0 zH&!Rq2Bl=VN#}wb#LcJx)_Fa~_^=u!(U+~8cU=cTo&P8DY6Bf~nV&>2@c zcEwoJQKZsLCrm**&0W3go##R$-{F?;XN4Q|uSesoeK065=i}^{kx(LEpZKj}3I!W8 zn;UfrEB~px&F-Mqk}&QvQG@ht7Om1g=km&3=y}GW6cx3^p-7KQa6fN#VSz-|)VI4< zK}k2uiioOI;M(46`$kfJ=SIO6pwQ5OsVCD%(0YaeIsGLvP>>de@Q$h+oAJ*J2^&p$ zeTryd_I|nldx0`Wfz=8}?lyZ*j1c13xGuv;iZqH-I^JD`@YXJDKq63dFFo>-`TM>h zmkXH}l+ZZBiLib9Mxmgl=D=dKWI%E39qs0GYmU<6!ECHwJmnn;~Nwq#_-JSY1qJ*tG~aIX1sJNKqOCrD*;`RWJ1F4N;?@;ul@d8 zrY}L;qpu1Jdrb3V?uW0OEng}b6dWJwwGvlt*1ziRz88e;Y*&NBrx%~w+qXLlzr^g- z?tTqV<&rizTrf4i{&k&u|5aUZgc+JG`>`d3=gzF51tXosqMP+Py@CV%b$X9)Ja)Kj z^JJpCF)Zad9kSK5D&F=irSeG_CIM^BGk!3DyKG@m6~SxVZ9=at@41wKS__-4cz=Vx z=Y@^su25VcVg&)IY*usc+>F+uND+9@Y@s!BX5Tf@CnnLB5%ij2!I~O%)0&Uf|0~DU z-EG<)7p9~ZBf0=rC`5%*3drOq-ILBd1?YJFHkAM-tjpd?cz&7Gpb(x&l~`rw@p@pG zT0c7_wO4U(R8=XO`XADFmA08%i~d`r=q?EhwKB(R(j+Y_G>+viT7b@8^WAc@oOUa; zs_x_}@pzYh)g|hKf@z3LC=@CsgYp<+O|`Xaaj-CKHpgljE2CTjW^Qo$X;OF3rK}zI z`leeO-kK7c&l^f;wRwgR%d4E;ABZa8#rsVgJzK|l9S*g{MBkh}7PRr$1UjicR0-BVu|y1=UhAs97R=)y~Djw6_jV2rI1DKUOe8= z(l!{46>5eag#@Q<%}FJxS}t817=T@q)GBYx;dkBFqT}Mljh5UH5)I=OuuvRmPUl)q z(m;gG-(OOxMQL&AJ+q}_Ddqp`eB6i3-?{OY;c4B+(ysgN<|QfK?QT!CnVEj19!HX} zsibVr5%T6r7@vobn_{;Y4AHy6W&pnTyv!=eO$H$Hn4Au$;V7%Z+>c7~2riqW{r-Hf zwY_kI{n2|umWEcyUf0(Sq~jLPC_Aw;5G={tp*l#VcZiS^7@>G zO11y|ot^f^F3sf~Tk)^{BVO*MHcesu3rI!??+J(5Df`eoULN|Grq}H5yU?X|pG2R$vMvNvn}`A?jUm2m1?c?W|!vQ>?pR7D>oIF z9r0XbH#iZ&Ko3dvP2uyo%ow1+7;>Qw5@;=XBY(CW9UYTLBU%ZHcsZ0V_=wsima&)i zQSu9lOM(Mx|Bk}I>e_?(@&zF`#Tr&31@PhX4d^S+k}4~aI)#(STx%Wilrj|P~dK>(>QTcl;OV~k-c0*txKc{_3=rx{*I@ucj4g2&0wIuVMto1>MTQ2 z|9tQB9Uy0`6Sn;fC^OK&0pG%$t&O+B2M+MNd8?zoUa-EO%K*b<+{KBf)E5TOImGM| z$g*+)`q2!>liC(u*p_MHM>`_WCvyXoz2rZCEbl864vMg*PWc6=DE%Cm)gzxVK!g%% z$EfrF{31S4K<3%YdCJ{<4ng*KOFw)v*`OOXqupcGwE0CT0RCo#k(`C2*C^lBQ(Yj zX;wIKs6r&&?d)z5eu<_*{G2Gg9TUv_7t`vyKbmjmR&>}ME#3|uLq-1m?_L@URDcjB zm~q3FyVCl&r=1H-g^COg&s%;0ElTUEv_EF$KMlr?lsu2cEovAzMYp%;J20Yaxp-09*I+8 zXb?n{nww>EV2XnUgcA=J^yNAHp60nR*rO8P$MAYe$C9AYYZ$N@9XW>!y~m>zE86|$ zP>W`n-gt}i)pUVH9EE|A@$HS8_)S&Q6RUndico2EVC*mZg!v>k^JGmoCA-bj`&gX= zPd+`9gK**Z(k8dw&e5fiErizku!&!~=vOcYTz>7BC*#JhFuzJx(l%L@h0|Qzcw0+L z(;;nyEJd#mDY?HG6*@2W$q$r-df46lFtd|`%rt2PlY*<3SbbBaC%LyU4%Bd%LgYpW zTSn(y(3&N7o_{QmRwuu|YdM+nYaxx*(<`RHoFx4sLqBVuxO>pCGMBn^>J;8(2JOq& zhwUlVjjfc=@HlON?4rclaQaFd5twt3l(?xrO!+kjFTts_TB&R4IU;*_dCmiG85B_} z<@dCMjnpIb_}j~R%|fbR7H9sHR$*C0>bK+g_jm-KCANk`5=9SDPcdcjoLST;m9@@?i9gWwdxhK@_l^xKSM0h7}Ol41oupN21gm(=gYWlIO*DaPTJP^giAL0uOZdWo` zEge`GwtjK!T*4)!45ekSyz{NqMcm7M?@~q%I;c8I{EoSjWz)9-p8bYnW*)hqE2xC;W4M}2j@UYRHyJhaL z^Q_3hHZ4qW$eidi>9i}~K<=*-@wE%U;ZHq@k~5@8(vS>`XzY34jfqe!bWbLGYBc^WFknH&h_VbmB!5AC^ zAJkCn>j;1)__szpe=LaSa%=&~LTo_Y992d|mE-rsK&fyKoZT$EtF7wd?<5SPNynNb zSRutr-(f29(o03!9Uhs47MHq)F?FtKYV?ScEm8wj_!?Xj{GNWP?rvqqPbVZ8FP4CM zN%h@dpXXKmYF(iC<@(}d(oAnL>A;PR=;r$FaW>3YD5>9%H=HlyJlp4A-?L*( zofdPr5irWqkM;&!UEmfJ=KeMgp!&-v|2${VIwf(*zUAvtJep6(E5kjgFhou(W~qXG zRP(8b7Y~Nly>A8>nr02m*3O&d+!=)&QABiKQy*IopERYV2lc9<8&k9rby*!PCZ7EzaqCd)CBa#e1SnUzvTc%wmbZif~RWisx=!HY4K7Dg0W-f{wC)abPe-WU9+yJwHb^D-wPGmGYyt5}9Pe^6(mVxxvSliV-1%-DAx2X3z1?4o!uSshCylXNEosG^RNkgl z5&8>;^8j^$(|d9phV*S^_YFUz#{yHcJ8bq0mvT z3+>2WEE0>|WIiQ_(t+I>y81aAV^0`aLnN5s2?a)o7Y3pFA>v_$k}i4PwdLp4O(NWI zKiOt4IcOndY%mq-wLC5=3Xl*oNbi(;SaT@yu%&UBV zc*L|nAAZzW*dFTej&kfRxWLSm3V2bO+O+a3Dc|;OJ#1=mlQI6&FNh{MG4%GAodo0G zq|*7c3#a0^d{$9a5Q8Ijn^re63tCFCxY4#m-|I4Qe2!|d#@kMe23ko;vww7^zR@eF zD$v9pOCXcGY6;TVNA-4-i#tU6EKjQiD=_m@(zApuM6Gs#C|+{Vdx!|e{nXz2<5;=r z9Z)KTm{3L^IS9T5uJs_*ME!+{mm=oY1fcT`X6dW_GI&JDMUyWdeom+y<#1mr$rtfG zMrwRd|3e7%G}^PQeV5;C{n_}wjS zO^HN<73T1aOCdz#wEQ#cO2c(>Db)I5i0>t7mS@5DbGzEnC#jbu& zcOBbaqdI65;$x=7$l4RNx$MGH8scZ7uex*nFlGo4iXAGVf`BY^T%}jww+;beXZi&W zpuBPwDBD!T!A7)`DE}T8+|ht-9N}6|Zqj19RsIJWpd&(DzzS?EA?m7M8aN(Z^_CJr zQ9LCr@*$M8Lcn{8-2CaY}N zKNSH0r+HcP^$WL0q+dT|QE-&(<{r;I85U68?G0~5uKBL7F@U))dkqaQl0B4OKLb zYw2$NdP1(uL(`aGMMQmc^Fn&JRlwo8#L_rzT zF`KDay|rmrpuuViB&(4#f>hDaW}>Dz65bV&I%HO(t!ohit4NW~QLF9y=`x*Utt|`; zE=u-$Y$@rceMUW8wnuPsLJNA`3%${l9!W;1IGX74IFL6^ckz(iXon7C4qaV zV#3`MX)#&v*z{O%I7|79zOjs#3a9ycNe;|mQ}LF?KP2JfNW9J8ZM)oFa<_#xUHlzsA83N<$Qbz- zykw3xsnGx2*-GAq83DTqJI?N%))w8=68ol{2%K*R&Tp3^{;oHtNX#^xn!EoyQugXx-ZC>Gtp*jDB{Jeon32Z` zXU*l@9KR`pkL>9D8*XO(`(Y00Ep@9FGU5oSMO!|qEf)`0YiVmVf(;lo)6&`Pl~F2FDV(}K;UmZEVf(jgs_T+I6YD+{B}1EDeDcU{gGGQ)ZSACv8t-s z*-?*^Dc}*u1IL4m(or<9pd9p*rkZ@75udv-TMgU@| zhQEqQ_i5DU)1f{YTwJF?M2lRyQ(%cFLqLk9dGimN&`N2SKslO37RiTk(1)(#wh)4# z@6ROB7qPy0J86=ALfW^bJDNA8AW|iS%4G#}-1lCu?ZAaY@?7I~nh+A3+fIxgj!YXk zK{jsIe_g|GEc*Ocg8@c;*;pjWmpQ72Gk}i@4l0`51>qZJi732E?$rD=s=ClD*BRH9mE!W)`BmnW*ZOs$ZVfc&LlnPusCD~PRvA3bH2$Hn zUHX+ik}7#d|uAD?Hc>wk4FfT`X>=xp$-RLdO(74eSqot;x#0hhZgML(lrP&XJd zm%Z+$l9muP>`S{1Zo1pb9hcqn(oVB9{fd!@9Cl8kF%JHu0RxeN@vF;aiv;W?skG8! z**Gn#H16F~FSQtVEdy7T>XYi^m=8c)%)3K!Gu!xn5(%4kwXpqi8Pp zK{JG_YQI0-dcaf{TB6$(Z@)E)%3=t;$2(F88NLK*cc||YRGVHT*AQlGY=XVB0{r|s4a7g!n0O~ySJ27zJs7?q%98yA()>2kYaM>6(w_KO);ageeZbk^#wwbKwP8p-dE_?f2Xg27|JP=m)#Zi%3hNX*GG^M{+`ZY|RlO_r(T=@*eQ`HEA)vjNSc=V9MH&a- zCtJa)Gif3~257DEW;|cPQcN#g_E#THYt~(6Qqw=GN)#Ayu<6!}Rt0*CusV&)%8mCh z0$}K(X4;v76*ux8@G>a5OBP?RuV-SpR8p^EW}JGncqBfR56jwxm4sd1Alt63m}9SFJzKKcLTkY2sl(g0p>gPLNw1}8Hoi-?|NpU zawTl0Wj4p`wPAB%ItIt{T_1W`Y68T8<(>;T?V&i5ZRR*#&&v3`Ia%7bT=NP@QHovA zY7VWb{%zYBA6Yi8@+&sf$Wf~XWm28`mip!g`vW{oLdJ ziIsP<)wZA9xjHR2iL}dXxy9zR_?p;uXsNAzTZgr6_ATo`$aa* zX}c+56*lP@|9_;`q#+l$rV)NLgaWcjZcr;3a{}qv09e4kQ|l1Tf2URu_y10wlIR{<7i=SK~e<>is*m>aUXnKz&;+VW%(hG)x)%q6vrbHqT3rcy%e!@jiv_bHjt73KjP^yhU&(5vbM=C8Fr&zYD zg^s0Sw&1~cYz_$ai`n^B5IFzABL|JL{7fvwS$iUGmqS41AwE9Z=6jc@F$1XIBykF= zu<)|S&FSfWYbamrOyFTWp$IcbXE3E_J3$BKe!Lu?j;(h_|>P7oQWp^07i;- zZn3^C9E27n;jL>cuk!{%{Igy$U&*?5j3%oVgwEN|rfjvW991b4=4Y#0*T}2!Tp6Y!r?%O+zbcjJM^pGkk|v8z0AQjD$?16CSI3Qm9(O zUTWsKLDV$cDU>c5;zn{K}a=<%*)+j&!w9ibP$R?T55I{%za%6e404~k4 z78TKlZ24#7Vicm!bgGrP!v#IdZ8e9jGo5}jWDyAikw5bQGKEQt$wqlK9lPW4m@b?% zt34sEdPcWRHhP>7`?yT1dHl5sJpiYdfZsd!@i>|yGzT|C#&@HAO$3V*GGJ@-M2JG- z43Nou|5x01UBj_2CpYovjF&`bhD2m~c>?c?fO5!8mx}t`1E#0}osc39l_r&JTb@qy zOg94+1D=#>#$&8@+%~oXQE_EnBLjUhY#T~=-sKl2Cy}OYN(@-yz*^l5S`fk&M+%S5B>-D*Tow zx4Jc|iPr2G3lG)1YRi>H;qRZWG7;!E*KjW)4^H+ks~ z_7(-?nf2U#1Af62l-88E0#RCt?vYgP&=0VV@$x}A=oc>8dX>D z-0qJVq`=F`Bmmjrf@?>EO`ytn9hHrl7N@kQ=;HnHZ9sr%@6Qpogm;gF$2}OhXbGtJ zV~@Rc%0cOp5;DVj{X2qy(gJ}f~Z?Caq8U6@~lH9lC=CL-?Z+htsH;kn2=YqauKj>9Z%^8H%&!ZI2*CNlsg$BFK zF11Q}E2IXBf+hY2DOk>XZt(k#eUf7B6@)?3|FgLAnwbvK2dDT%^_LdQlom^$U`nO$ zwas|`G#2=(&OihM7(4W5{ubn75C3VQSTjFZN(AyGfVtx(@nXr~j}PyfwiRP70!{YG z&ITtlDP>7}zAvTPfMB$d;X(6XOWi1FOP6J+0FG-DkFDcr{txxH0QtX?qHin6zgiY* zP*Cp@X-52H!{|5yZ5Fi8QGK#uR5awjU;5J3{)4SKUv$;G4_{ruEdW1eP~Yq70^LU< zjg}bG(-6!zK=~@|;Iy*f8U+15ZXp1eF$|FTu4208v4O*wK6`v+UOWg=P(!q>`3lpd zMirP0`_T(x*!$`#>rj$!Gn(q`*3R)(7rh;<>i2D6`C%UvOTZ`V{B|$(d_0LbxxcCp zDfP#II|A7BvhaI^#x|dG?i?=rZ<@x(z7mRXRc8W%F|=NUc(FCTf_{UW^z%osob(OB z{pf!mezzHg|NlwcnBfRRfc2knI{O#7nKZqE&_FhF1l%?1tw;yo&xkq$%0L3i_6%2x z>#dW4hMp0>BjwV!O|l^PbdXLwFn6rj=94(m6SDA!(}~~aRe_@(A8z;}C68+bE2{x8 zHXIm$vIUYnHf7+sn)zpzvsovOiZfPx^B%mljZ6z zlgSN?j66Iy{CO~TytQ0N7YtEkCgS|E&sQOAKO-~_U$OJ|@SSsh>M^lzVgfZQrt(8c zLb94uhVWi2F$mxVX%5h4UPC?g)$nHQQe&6fIR~x(M0MOce7&fycEkOPREINKP;mpB zn6+kzB|%U@b2Yd~R5U1zTM(K|SdhiWe7jaP16hTa!P`D`6_~p9N9)mH@qU~G&^a+UoH~=}cwBHhPVIJs z#Xy23=gigLM9t4A_Lykq6p-|=6~$XDtJ+iduw*z=|=XKqpL?$t2b*Uwk?dB&K< zSIz_!sQ0=riTYi`9X1f-q`n|$bJ@KMDIfWUfpk%l(a4NGv--XcqG);u8Tiuf7{h_t zU)1>MeeU^fm6;J3%O8X;Bz&Cn8hglvZ!jfzhoaa{!_D}M-ajqZiB%BiIZ!~q zSVfHEm2BW+TGpSCVGE*rMS9FV(>oQe!)AS*JaLc#!-+$#&oE#kD4Tv_3{lB1xl;|B z412Jg&c)oB{=Kx$=+Ps*jHr{!!ffZ54B<5jJwb_>KH79lm}xX zRl~Uj1PD!s>xSkZZ~9COKkwUXr}Gpdlh3Lq}z z^#+m(1kpp|I)E|;b zwk@^BYKVT$Jr8@9E*%moURr4m|I7giy#6r+JMi%!dr^JjDnVfE5G@>;qeyD8$X8e@{)Rdy0}X z9kJaxFss$sd??mhevg|JA|FenR>PzIk`kIA2_BwH?B4+`9;oiM9i2xs@g=V1F7HyJ8*EJ8+&D(%#!zveM zXqo1SA44B9OJGt#O=GzOWjpk=9X7jBIAxAl8()VMrYL;uLLmO+hTFOHR9a0fnnE`y z-@W%mzCa?Fj7*HjR?UTbCd@Aa231I30olwd7ri1?HxCx@5{&p{s~!A)qTss9nGMPn!+1*bQBVv^TUq){Wv!rf|vB}svv30 zX#OAi#Rb1Kdxz6PHK>sB!I0ts)J68dGKWI}ozenUn4|?lI6y)9Ca08?VZhg+{~d@b zO>g}JqWTB_e*w`-R(t|VVYAH=YIl!tA_fe?V)%F(w8AxcI?W?pAX-vne(CtjV8xJa zL=lqGg1kl&hT!~q8`7+SCga}x>KgKm0tjG9La@TJ3Tt|pMesv^P(~3+k8=oo*@5QcA+d#K#!j~H}dY~X8++&bg_(urfpbBn~iwH%o^xO z>FzmcS!wneL~RE2x3--hE+$)5ja0T>#$+Z7L+m_37IN3xY#EITCL`O z5wLZ}mMI+C$TU{M!@1&X9ZUPqVH7a^_WfAwVWq&*pA0X1Z}&`?+B+<%HgRx2JEnK? z&c073A9dY*uuWJjBvG22IOYvf&`s`As ztSM6vwlSYR>=Lk;ns)a`EJ$k5c*DLo)7#;LHa)Nk3CLC)xL=G{Up~S1T%iuFiHt0{ z#%&Y*wCub779kNqPHMtQ^U#5}-u;SL%W954_#xe3!;U=H=WzxFvr2y$mg%1n1?Hx! zGIm>S(%RUY+|5!WUi0-&LPZr~r!dvsBE$%VTFN9E%!RZXf66X>$3Fm$J}fvJZI_k# zPZ&W!SK@$2W7*P*=XnU?}I3o`ioxWe1`W+ro4~F*v;v_Y! zuUzg5`;8Ml-nRoX^#=Muat(+m(e=!Fi*xe@-JB;s6Pt1*PzEQtIcgea%Y)#tN2d*w z3PMK?kwQw2gA~d=y(%)d8ok=LpT^`$y8Ixuc<6<`rR<7Y#NVd@Dsw6R9F1S0H}}slg=oZp~?3TeP-81XXy&f{DE8?I;5qKyZr(4BspL&mv43{ zS)MjXhNO_|MeM4ox6E)FlLELQOq7E2;8rmHk|y2DBKR{&qTAoJGvGhbjOR0B*qS@I z+W)wj$kV;+GhaCut6TSz*Fb?dh^x|;&{&58pP@>F9-q%~eO~5z^LhU_Hm!o5F^HP< ztt{KTd20n_0en9Sd0$!@YVBY(0Q;Y_n&F{8ZV3W>k}Q~$`A*yx3RUjuHTjG|4wkej zf6pid)s9&e%0Ji(MBb5(g)60xx2h6JDr&L>H*ypRqA&Y23D%uSCQzwHyW zO!Ko<-?8nRp10n=ZHaNv&Uc{LnJEwwZ6U|T#KcUCCxZhH%32@hNz5DDV0Oas3pwAP z^IvaGf)B3Gs{BdBt8x3oG`voPj1t(UagOgH;0D>U ze5TbjeO44ZK09Gkd2Ew{07L(0o*&YB0}i@V6h?B{P$}p< zKL&}dav*XoPK*utjftvP&TYkT+-Sy{Zo5V6_AE@BSAq#kYe2$yD?|>c4^$ANCtuujSW~3(YmD1|i}uTEM^f%m9yi0!+WVbf+d>F2QJTQVY47@1)pR?T zd**dUi5~aHjbMn_m-Snm^P?lZRa=gSSnot4TX{r!sL>mN{KFp!0yKQVPIyn2h3GmJ zUi*Y+XyJTFGcO5&kCA`R+nFn`X>fm=l0KK-pI2?7bh>E{k*^-tuL6#2cWm7=sW7fh*ThP57 za??Y}>YN+qP{xsW_>4|JS!xJ7<0SoV`yw z`)0OzF|VFB+IYt3zuu=dfGXVDl<-I5kraUcA_H5|LH90a_=z;tC;XSkkR9U<>|g}1 z1+(1#Zfe6kg~h^Yrs-K2Y)qU@iZ{x~YQkc&>+Fd40aNJ8sEK$OWKX6meU#R_tI^8! z-w7(bvKKeJfN;nCA3)A<{^My#TP5G$Ht~q!FeeN~%++Z)aLv7RPZmbz-*ZacDRm^BM zO&5{8@2s034oIECV<_nEYiJfEU?vi@Hw+vnf&w4_#z^D`2FPQe*WuH_h(4ur;B27$ zdW>Gsv=YD6j{0YK)&+hXT0Z(#a-l8hSuzCNImt&as^c!)oVLuSe?-hXHM|+e0AIM9WtwB>$QN6x0;l66>yCK(HMUtv4 z4J`JEk6Nq}z{jgwX~e9rUPqlQPh1fZ^&shij7oLkC+POFRVePQ+#Q`wf9LI@@eeeeCGSszhO|v12-$cyP*5^qX0vR)Wg+1bB*&K|G-E?|Nvx(@K*xq4mLJ>Q2L@M>H> z>>5k=%`dVf`fz!@Iw(d8O3hlFG{g$LzJNP>#cl}`ez2a4rKiOTWYu%!JPsJh7`A#k zDE#;MNZ!{NuC61wsj?Ew+?n0!dXBT@>amueEBOJ_9JQJKe; z^iM(WU;DLJ$pvbh8MQHx8 zPfe;E|Jy>|?Vz!t4T9=#j)vP><@@n*vMIy8485q``ZI+34ynob+;c-?;wP4wy6a3a zJLMRg1nNrb`$J0ObY$smvdJY@`I&qqZ9Hc7k8TA`fMETY4||Q3M^=k^9+;&3_q({_ zEv2_-Osu)YPlBM!chqc6xU+2?1nI3U4?sR>O zJ54?d)PBn=vB|GkGS!qf$rf)~O>Ke*blPKQuhx}1H@Bg zz1yu@!Sv>Ie_V@PZRAp^C6BaL9pa)oi@tgK{TL0pEQkQ`a32aBz&L3!PQQVp{QjtM zJO$N)RZYyjG@%fDMw*AgdDJP#+6@v`l93|*Lv6qZd_o^GQ{d;eT zbw&Rht*SaayB9a3<;B_LQr)z?z?ZIbV^9Ua2trKcmq3^SzsE}9mg)a^5Eix&y^`V` z&YaFO(dubO4pLbIiFR4RokXt+Em1H(Co^e5Z+8Nc$UiHg@O>ml|0b|AC+?ZFLAxuB zj8Pr?EMM|4Cy_|lP?~q|e4fq)AJM28$M=1n+`x;7+uz~RW02T5!#Kr(+B8Ch3CBF7 z-~Tx5*t~4NRdR6AbUPK4%7$EfeDhw9p$+)k%<-dk#3*J&I+0HuT!B3BG6nT~ghq;s z6vH^Ir@j=goSmEDeS9vp1J3&GNxnG3ze2zyhrhgnin=2nDaa(BL$0H0{VLGec_3v0 z?!71=%9L?9bRuk0mf_|so#@{zG~ON~w=@fgcF7ThT^ZZ7%0?A_iW>2cUFEryer4`! zntl_YDxXEi$<5juMkm-Hhwk}hi-05xcJYIiw(hqH({N(thSppTdG+RQlJnov+yuAG zxrDsNzi(wo$wfyR#y#Xsy1KT@d^IAzDw${2MzW%s*iS}ZZejjV#mop+wmgwN_-l_B z-9C_V-Q;%k&f{RH3!6^ln^?`L@4$F})pyEcS&{cL=eFFpE)uotkFO1@vom~uX|A=N zp6GqH2`tXRh&!s;4S{B5#dNMVS|NC;+u*mw3h27Nb)xy~r2cX;d>uG_z{2oi=IC)n6n>XL(*Vs6E1FKq%ynS|of`F(3Sh8O$E&t2?2h%vIR5 zamTE|*)(me^A?&jU@~E~H|qQ%B2hNxy1n$=#5OC<_1}(>mN5DEQn0>n%n{%|l{8-j zzS&sNpPJoZmjxA18lFmf(8IpiFt}0(AolP9y8uQk075(k&CM}1giYxky23A<)Qz@& zCD%IB@K#R(Uy{slRWLzf8kg=kXxzXSP-_b9xp#kFQ|FVwM$ea#!ciJehC4S;K2~v3 z@Z)hGQvlwODE(yKxL?opxH8(6)PR;%%Is=deURqb(%7mZp$8btze(EH|CglwMHkl4 z9GuG5cXDPjEd6%lfT;_zeR2kKL1ZeW1x;7Vz%bjO4)Ku@b#uBaV-&bw$oINVa0d@4 zI3KAKTYQ>BT>URW+Zp`-pP-ErtFLIo9{lQlA(f-?AA+{$_fDAoM#QX^CSbWJRrl4fA;U%ST?oM zx^Y)&gYFKYg4uV2@i8mL9Y;V)2&zk}sYV~wm>5HKL;1Nvm5s2s*`=kKgLv4)U*B#k zhc5*KA!)YN(2Zm3Bs1?8j{q{9d0P92ggFy48atgy?eE&DObmhQh0q}G)bVksspJWzRKInxx1b_GBW?=Mo1>lK?iPA`eS1Lfdtx3yV;+5 z!77UnbAyTA6w~B9=*gdI}M; zadXy5TOE^K8-|#IKi9R0b?&Lr5;Of#FmG+$U$vTA^aCQ0I-bB{m_jioD@J zqgJ5-sI|giFjw z1EcNsHy3YhobS>LRvAnZe+v4 znIzuby9h*`u^jFytLmz#b%4KVkp?k!|!ps z7kk`C^LxK@s#2LK)^yA$coKY$ABjz`jHBXt|rUOJ_4T zNMmmv_ujvU+~T7CBjo1by;7%`+=AlK;+=eK8sd5!6g*rX)1vSFfT{o_kPL!sAJY>AhB}CfXHj*ewTzSi+QufB=73RjpKr*ZK$$Z+|9U-`n23Er0Gy< zyj7wmg|Vu->Zz!~JHI81a+HT5qoFr*0;URPri#1*f}1&+zI9TX@PcmY;*B6fqRfh4 zVN$y?4Pt+5Q)_KPI#DCNB>LSF0p9;}o(MU*rGdwLlK|g8=nD`!IPftE^$>^aL8*jbubKssSX zc2jWG@XqA9xY^TlVO7eUCmS!9KhG3FpA_T0$KBcw)-%VTeV)(72O$zE6~g4J4v0(C zTQe-hQ>JF#-M879Pvt)9Z81ZJ1s_yu0m+l}nIq6X7=(2*paCg^9eHTAL z=mjq|JizICHESsgY6)26KM>3?b`d=r}zv5!iU^avI`s}47<4O zFdDQBg zpf@6J_Yz#mD3(HEsGP1;9Y;$~kEWz@C2ICb{O2&kbpFb8h~JA^8Rua?c|`e5j_kz3 zNQ>OfEGDlh8LCle{qEtUOR6@pX$1> z7!wi-q5oXN16x67J!Wq2DUidu#r+M{X^bMbQ3Kt^*D0S>0i*ed3iF?6u7%(h2SL@) zJ6w6CzlsxDGn1HJ+lVj`uB?DC_+Oq-LiK-k0i8B=-VU@4Koh`Qt;!};>@##@l`rMBhV*d=eF@tLJ02EL@kxfE5HvnrU{oKzAym3QJD4;m*RqZ z7+g$B0fW{&r|kVqL@fK#^S$D4E04c+|K^n-XS2Cm&s^xvWCV9!U7dPoucqSdpFVCU=9)3E7DFfY2RN`8unmWkm=5pc{-gjZl@f)!*M z*<1Ry7J1ReJgkVtTZ@XhLnCb099~)yNDz&!b@%9la3UP3?2J2Sae$6NR*Pbd*f~0# z+HB2yNUIZ3g$&@aFvi#N7YmrGYZX~@=1QYtwO{fbKEn9#%ops=4iEfy(Kx_hYk+(u zKlFDlAt(MZ*|zriLeHEuVCkghUWJHDUB%u1Ke=vh)PHhaE*9v2J_+vAkhjBR7Q4CP zbVk^SyThWj4>>s%{d?ZpJT3X z{2~7zSl4ind`jCD(W7z1Tv5XjVpH+df6KX!;(LM=vNL7SH$*{e{#?k(Yq`2(iz#~${+GLWj$O6i zsXWv~!woos5iZBgt1t(yCvWrLr?E4kQS-gQ4 za^2fc=*9CP<**d*wCpAR zHoP4=Pl$iYIxAGs!+k$<&8-JR)!4gn#WjZW>#6`seh#+e;E&PHFm%kKeYOS6!oA}S zIXnFdQF^lx#v{T`y)1tiu-p3G`{{E+kU&~D_utHHBXI<68GCUlSLg2lAVDCdbe1!&3&(17gI1n~c9YF(h1SI_Lcas3&ho|_W0 zV_<`1HrH5B#Y+iiAGFhLY;q83cfNiajWc)ops|O6EwrksG zvb*v0XerUAWwEYxTI&0+9Pt$}``>fKNYwvH)(0?C4wfZCdBZS=Eo*f5tplS~sMEM> zbrYU#uvhvW;ou1KZk+x8)8>r;k1sksxgDwXg}wN5>c~-X{J{L3>yT0z=1i5;5`yrS zP7BAMt@Gc&I;tyekZHYTq|}OQvGrq=%!3`#=npB6pcu<|9Qil>yUK=HpX9mj?ehPH z$r}w%ZJ)Jx+gciLNXn4P!reXohh4V@Gtg?{h`t$Akx9$PP5L+^Ez1RfTX{gQHvAN? z>^t$C@gMT?H3zhFKrh-KO{L#XOr8bav55YO@0+E&K{C(8qaH^MaJjT_Sl2@QUx$Nw zz+~^tpwvC5D684(C(pAB%LQ^)B;)PYtx~_6mI`Inhoz zsbX+n!2YhRd%?aRnsxmvh5r#8)i!7*GY8)9WDtS6ZJq6UEd!Nm3lIvs}pm;zQ^zj3B;`vC$CVE{p zbTrAe3Ze$X+M-Ov)AM9xYtFg7!drDYo+hVmZy0HKd0tIz8y?|?2HyJQM9z^D1L_l* z-F_(Pb6%*xL3A~Qgc2h2{H4jTgtxYYlG8cemTF5XJ>!WbzVX;_T@|Z;bMci^EJZe9 z$gIkH+4lqtfP{(C&;k$vycjs+ydWC8mmmQpNo7A&m}N69*tK5n<7t49$PL#UhX&%5 zv02?XnYrmPE7oAC?lSBjr@J+u-Kjr?&#L(IF&(e7XX}|MdF*rTf8q z_L$d!`#CeFo`Dbul(w=y=b{KlzHXu4u0e6%Hx#l+^vg42Jv7=)k8W*2lupdwZhhU@ z1zUO+@O~`nDQ=~fVa%<2%1|4+`+Ixaa4*i^hth;URSF^RV^$(g=?eS5O>{rIR-t6l z{x8iB3w}wk{j`0e=V~>^0cGvgjp`LM$6^?3=-z(HMYvYcjx3Hy z_Ge8BP6Gcb+{@!@22O-p@|>Zfv@#xu+_e{E6E8I}HC=<#>Rc_F$RV`TIa4cr$8nXd zR)S1a-=o!Dqk?qdf*7N|hFE^hiw$=K#;lCh7&N_vXZ+r5-K>l`eRlWroY@`axBgmn zV2Cc~w>QZ&RLrj!>Gto`w%ulSM32?@1?>ZVK`P~5nAiK~&oavJ^w(g`H9s`D<-Q%y zw~sy5@7W!9KQV_U2d^3;D13<K5li|5vPwnQ=nJDNS%SSS+?k@s`^lg#Y`c8(3P zmb!;XRL>Tg`o0OSwW;5AC|rCYmR6k~T{e}uhsCrhz-IF$A8mUh+tCL~zaksn)3&>; z6|}u0wh=pFT^oX+(UA(--y59c<`D2`^dZfMDt+UXv6`V>9gKF@I?D4ASmg`VG2dQ=pSfrO$pjtd83*s$@^(Qr zYiw;&QypRQ^+kvCex{}hmVd|dQfx>yt+qCd4p&+n1mTDKY%a-Ls}WwBU09c%3|U-P zgXOljH6m7!TQSBeuT}16QUkJi9(bIQoiIPKy2_G~q)ab!A!={>6m2?xdr_;6JM58+ z`Xjh*M>5-zkmOijTDWdB(!u?_K0?P`wukD!3zPU~FEQNI&B|Kn+8v#;It&#X%haH{ zGo$F7^AUDQd3i#nU-9bk%tT{mWcd^*M1iJ|@vXagO~!l6{*Uau4nphR$`eqXNxX&H zvI5l@zLJW$|0b}{UxJ;e7C@PF?mz{0%Fx=~`99pPE`a#^x&)?{ILe{ZIwjq{`ktKKi z?%eO{dj(oEqb`0s&f_1}c-u22q?{OqjEYNOonXS0Fc6R7Sgy(89i8OUtv<0AV9hoW z2{He=IA$r#pq!UDRSXb(L(_Pzf6s{_Q#jthF%QU(=EGStYMfy^&17>!hAzU4Dr&!8 zIJ6N13VDEJfdhLw&2*A*Jn7q@X!0)PH&3kJmv-Zz(k5B zXdYcCL;;q}&_#BFxK2LZX9jzp>2{~GB*472n~wL7%)g4zMIwSg$bUJCz!%>C{q-F0 zwjzT5DpuYYAXqZ}K0PFQ^wdq#EeJd+t>lQ%03ajSoX#j;R4N;a5F<^Co*<>pgdZZa;SdJ~!yYPY=Q{OeDQlBshytT?q4)29RVa7Y3}7|bAX3v~oM zj<2zV?7tCC{3;POIG#s2q9FAKl#(DdqSKf?YK!`hzAOCLvegxB(uH4#nUaE;glj;L~;+sXrJ?(E~Ss4*d5B~{e9wBP)_0$+tjjng5Iv+;GXs2EUp#zn>%~j z2FksgaG9=&M99Ch>>QL+v?r=LZpLStC2e;NNUHztS~Fv~Z{S-N!lR`1T{n-S(46lZ z8F%hia@Br~SxUuep8V=Mtc{CC2K7^gLR>=4n$mcI(L0#`Wtrp6vnA9J8M9eSbyQpJ z6xy3r2J356OxH{k!S0uQ(yafs|Le5S)s=JNao?;c*MiyOqZs2MMxqXoXPJ(h5P5JI zc=O%;=>7QR>l$Ng8e#l2%}S*~n5r3{n1p%bd+PU1n#-0dH^p((sb2xwI;-;%(;Gsd zDF=)kn3|PE>~dwiEuW1&jb&iX@8j|(KIoJCy4))9oyYJ#KAGX`(#1h6zxjSVVLQfr zBjm*xX47o-l1zjJmzZZ{Tf(Xl%E_385Y*C<5ATJ11!#KZ)@e_ziPSXKo}}A&`dDNb zQdS6vPfS0-ec#s#u5vB1u@x9nJ0_q8>XJc1Fwursvts>SU?LL(Gx7$l9CvMBUjNC( zCYdjp$ejR&j(W%jtw* zVREP~Z!^`=+ga$>>w)pZ%LD`)q)*~&;?E6;TllXxaTpjaTdPtX-7o!JlUZ%6H+uou z-UZ{UsBf420NMWIS{m(9szZ=k?&tdoh|z(ZFQ2J(+tIj@%aIECT}RtueN7rd(#e;; z*xs*H6c4ADPbMt0dv)19WYwvX4!ZlS19M^-!JctCxZeBA38UOWIqMGAs}pGvjq+zI zY_4qILMIO%tWQw*ai`;>Lw96Z7JEb7j$6#GjQ&KIsg|)h3?fNNa#-Bf z1!&(8^0q+SQ^4E$QCW5B_W02>#f*t0qd2da`UedT?;%3@6#fOtrb+(%cC`Mm4B^H8 z$Cn%DgBHR+ON`qUe{|AksglS8r>M#BxfPUMn(%ecu$f!3V*JZY2&B&hLzVC<{*O6h zR^W2=FM?9}xP1YhtEE7!FV}WOd-?j*RbGbumVp8H&=qPJJ60FfqSZ{iZwfNZx^PRa z!_{cV184Ofyn&xHKHe02#jYM6BzXE#(wH8kr#x*@2{uhp+K9TyJlvXjqzrY z6@`}kGXw51;e^1W$>Hj^x~s=}V)_Oy{dc6!5*aumFOO&UQ>z_wd&K4AkpeCXVV04f z6Zd?0XAjh*&3?ga>=ii~*Q`7o^4ljy$Qx6coU1BB2VzSP6==RaB9Y2wiwDlQ4jl5q z5W(tsg;AF@cui%b{#WD_(uv1f9JFe<$|g8TI1xcwFlI=2914{(V)oEZ}WN7is0vgjS0P zUp}dP+?ias0-10L+7jf`*}))oonhWu`5ZlO7u(G{G%seq z>&K?o*bL?!P3NCjM55}$)!h}ci4l6qxi!$Y){8jY9Z_ZwM;7X~VV$`nEzHjMXnS;i zdG3Gb1lcEPK^5i1rrR#499sL!lHV7fPoNloZ#2t+=VGnNZQX)tkusEwB-MJcX|r*B z{>PfGxNKOXr>xvy;nA?i+6Zjxvh25~waKp+^p&Y)dWpZEj_-wWC7tI;XqawmK*BKKf=6zw{yB)3ld1&5ny@}HoJ4@}} zh`3%?%A|PZpZ3_w051+Ym~p$hP*tszE4`Ef_TMO$%<=n71>Zf-@6HCZ5G*{OcO92f zBAweX1JVdQyt_PYP0xI(@kF?eJgn^YHSa5W7dd3Mca4;p>=e*=_s3%Wr+-Gx6L{w7 z_c7mlHa;EQz(}Wm%1?jTv$M#{c)qB_r#=rW$(EKG1~kM$aa^m~CTBJg^ZF+z zsLc@-P=08Lj3|R~85(MP*B;m2)(~X$qwn7V$R*LXt_1;1!mm=NxFY(uEy)M_4SjR(EQ%qhi-P^0;yL7k}5fdg+1TkP7 zD#Y4(ID8Cg{y0in`*8c2Z$Ugt`M!jXk>}=QrUn$;;WwN46;dLoAf6vMKq=FL*)O@( zWdQ=^CqKUhO%%Kqnn|~o;xiF&qy_YHV~{|KrG!AbjEQ1&IH@cD=wLbnFZ7^9^YV&Is&&m9v{C;w`+K05E;b~UEC zr4XPJsTpPOGtCJ=w_|nCkv(!XM!b?+*L|bc(+VOz>#c6SiTS%M4Bq46P|+HH^+Pe( ztDAf1`ygA5)QB^EUxH&Pf-o!UoRfeKx0UwigN#CW8l_)+J;ySXW_Z{eOssq@6QjsB z(^f+snNKlD8EF(7#7Y~*>9bZoaUvs2CaaWKN6Fb_^;{TeUkog#lg9fTCJ-hn%pL71 zBSNDKNad9n@{x?2XHq56uYyhqj>!fTlD~@-wY4cE@gR6fSRRnNHKFJJ{vC|*qsA>c zKh%t_C*x^}#=Lp^OngULPAy>TqY+DOJ#QTQXY1Yp~+QzhwSwftL z$19@zHa)b!{z9a3z!%T$Di%F4Vg^flq^NGFe)8@|p<0{)S*Wa9g52yq;)F#?44UsB zo$50Z&31EbrHY-MX=wv6Td%8)?1))J%ZYho*&{&}rm^T6LqPdRD^4w0IsTI@;3pn% zXl}U`Yoo3k+&P=hcYcD)FFF4Hbo`goT1C-BZ11_{Z6usTaXFgkOeW`x%#ZI{M1i_1 zGC(TG8c3fg;OI4jwlLUkFPRofNk}@ySL5zCSaQ!<%9+4I;Aq(qQUaM0bTk}l;FVAn zpfF*+K|6i4W-8pn*!7K^^|=q-*XcTO-T zA~urT99VHcoRivC8ov@oxjjL4%&(o_DDx;$eacJ_q&CU+dDNUo%I<;26B@eZcRyRd zKO!;-=+H$s%j}Ubu+im^oKstzk~ii7alp z=gRU}ODHD|KWz|Q!p4m^?$Arygh@eq-cgf%&UUG^a`=8X>4Udsl{mYWgKEss_3qA9c!M0S{@5!Y-n~kR? z`zIr3E~bBG#w(3@E$Q#qKvDIxD17PL*S$pMCmv4|4cv@73?VRUkO)n_y`aP)S^La2 zXa0OFbSGZEf$gM1O4GuRXE^UiaY0sLR5U_%D>YYWbnC}%8|h5YmShiEVj_#Vl4^^s zXT6FhrJ1H*OeZZr{hYBTd!z#AwVHb&S*Boiy>FX<+)SQlQYAYfxQQ#YAYAB;-t9RhQ zDjQm4z~l6CFS|QCQjX*_uRNCj6dQ*Rhf5)>A_SL$fJs`Xb{sn4Vj|2zyakrt^H;Pp zM*jcK_csliXf=3|95cM@T+{3A;fs%YCi6?h%-w~$_A7n>TqFY}pq*?v)(B&O$Q z&^v#UGmo{4Uyo8^Eoi8T)Yi%7S0A$w0pZ|Og;!U}^Tya2E5;l+y$=Mqq$4$9qGk+& z^Eymkb(Vp9@<0L}huDzBmYgbkF2r&W>H*M6F4Ny_6(4<6J`XZVo@wEEJ0>P?rf?IA z9sn)@MyWFoLq`mAV#0O_Z1?zdYaZMlnFk8PJN8dpi^sB?P?_cJ8jXrB-NeI`qC$Ee z9MyCBx*2RfsLwY$iZF;oL& z%0>cq-ytLQy;8zMWZ;dv4h!zz%t*Nxh}sq~zCyt>vcUXTDRfQBFTA9m$~qU8A&2{9LtKq#&9bI<02 zD}fAnk%WU?k||5f)_I*ik!Rv>NhM%uKFX9lWSv_>QPl-tav!ZUiZ#LF?%AMd>&xaT z89k5H`FlTD996IU$2)c2*g;S#PAG=$t=l#lb!>8j9?#j`z--w!#5_o9JXV9)0Z01t%$WfM(nS}K@*N^r8pHe^Q51=s?ibXrebnjcx8`&08a2y!miH2_wRap}) zPyuW1n>1QGk_DBhIA6Q4J;a=|z92q!G==@8z<$*6F(k(HWpgigqN>aHSc*X<^ki~D z6`&X)H${UktmFCc8SRH(iIkNWGr|d_6`IRVWcK72Y*oC|mXk+eqL<#4LC8|zd4H+o z!pM2-`^7B1*WJVT7)Fa2&N;*GO?cUci&<2;N+@E4Mcpz7Eog1a4cO-G$&J0xs`hye z7hhM%7jpE=3o}Ro7+HZ}^c28yQmn$h(I#Wdi3d}*#U9)o`3Dcfp``J2?!o5p`3ia@~0SprJV zbZ-hMz?^E4PW+?D!kG-8bx++ zfIi~#DoJcjt8>7Ft(L!jVFTTV6cv3qi3J3>$FrA&VFLF^uBL?Zbf8ZqEh@AVaY&=- zH&Uy}N$zk=P~`xFuF3_N8+)lJYQwF8$Zwp+FR1!7KlfCp2GH;@mMrzf5~;*06B+1S zZuBFYOIGrr+u&DLYc~1T|Ef$jR6hX@9oT$zyTnA6TFe2)YR4+gH~QDSRgN(h@SczA zCL8CWMJj$@ivqA|2_q|9fAP5Kyo0sV^+L9qlPGfbdqe~ljNO(= zVyH(G91rz^lG>tbpUjvRKR-|uqgaUS$XYrxv~heqmj8LCfjr0)=Gc8Si^neyR*%a_ z(U{)XC0K$rY5f@qUK*^Hto>mr{V<5fh-z8!?3lb%vACK`D?~0s9|$&v5P=++irizA z!r^MamuzF+hlCJ0?TsDJ?3rKa3`n1-EGGZ5sAHoRQ& za4}^;#OFPTqdpl8-;#1n-xMN<)KGb5t4M9fko=fDzLQp2Q9oOdb5oxb$Uo(kn`3!9%ND3d z?Ky6kvwZQuLhVWPj}u)9f@3R7$lyPu@G$|9ZNK$vTP! z`F=AP%K5cWdO~@~Sg2<h5^xx=C2CHD_wC!V_a4Bk%q#o)SaYg`WWL#3CoI4 zd6AhhF|J%6RV90q8GwU!3wv=iLIEeUoQxjzSnhS;Ei^cx0TT;?EoJm6G$QE!V`={N6^m@F#Cq908+E<14R+{~OjmO+o>iDo!;`kc!{4TRE0ymIgzNIVZ zX)nB^-c@<-97E1+3*J?Y+^o3Ehm;edV4K*{N@kKE22nLQ@ejOzoGIScg`~;hZkC0Qm`Tvg_*YO z+_vKuEFL-lVG**5=Tos!W|t*@hm@FQ9(|m8W<&d2)9>RqHqV&4-b}r z7WwhtX=T;LqI+s;Cqu?TUQ}gEF1(Bxwc|sR+ME9&^I;G*{IN&dT9miQRXSfx_B1!v zNPg*$+|8_Q5Eltcvp_=9lc=h=II?h#zZZ4J)4AF{Xv=&t%1X^7L85HEdRXt(YdJU_ zp0uO^#3d6VE3=CLuRxfD-AsBeQ}~v0JB?4k;Yvt3wy zwi$BL4&(S2i^^f?X1$w#t$TW7$cyi54)hRuv_Ai}^fA3=(2Byxf@itj;gCKasRym@xy3Ct`5PBh~7EoB)lFK++)k z$N_2`8rl{J--XS<)lT$2diYpD*|3D}WZ_GBO3LqXk65s<57qm}j^3RnGYP^MN+9H| z)~=1t*YB_Lv(a8TpGun&AbmvSq!@brN71xZ#+3gW{nm$BCn5wX9TDx}!#oB{Ec;uW z_1)y%v`9y3KZFIK^L~8CPo^FCthTd;Mzyun>~*zfl>u*4&a$|un=9qHZQPp^y_rXW zL>*#j#uS$pEk`>{|HyOq&t|S}u+K|l)KrREYt(FS`nLwp9AZ{l+AFx}60mL#O;4W( z>x3T_RhUgmcSum7Of5p+i->Z?p2=uW6&;p@D>eVLmv;2ZU$#y+?eSvwsX~g|SVB)g z2R}M6cFv$tOYrH5d(U~hfSQvf?wX(){)pd$S4;0k!E>^(G7B_YoPh6c(rGJi5noo) zLuC5NNmrTsHMRL_g}zZeKnvrmg1Qr)C>pQe&U$UHj5)_9l~tC;msz4Ev{NVrMirl0Pq|BKM+?=r@{TfU^9#n&s&Vwu&oc`~B7{ zFnxbgE5vXAG+#X^rbm%aSk<7mb4;GNyS8zG3`+`T7*|>Kr^iI`bLmn;Gt~XCynj}C zW;*lA$l}MSBwS>5OIBXy+mGVn!Ewajjg%qY9`Bb|CWoOo6Y+J}0V98d2{8}YyxWtd zzSLca8DLDjbucV=`2?8g@oYvFAZs^yHL>?*X&wYTeqyZa2NCJ*#Ewe+bD4t*`3VR~^caco)HO`7gy@Ta#jzuCdPvsj%xNSN~=F4Au3ip|Mjn9F-H8^Az$P!Cwm2-Mz>X z!3B^}VO_;zay?6`zegDOX+QC&#R7N9x}z+xa=ZAI#bZwc~V_%td=yFF90vZXYX`bE&QnPc`u=qwsK+GU3`F zA_P5$Ln)LDdcN>6(vlJ4JXv)M7p8Kgr87EvmgIWc&Ub5UsvkVL@tFxr3l0^I=LBa$ zbTYy;*h1oD=ug*BvG2A!SMzTKXENf1w?IRi zb~SD9^6c!VZ9MQBqD~#K1~*a6Y)!qS^H}03B0D~A%SULiEZFL_HB=p`K~xIje{EDy zEQBE^;#!9ErUhb_WF$L5Nz@!rDL=>33t&4;))E)D6`PC1-%~(J1H3@?d;uR&ecE4} z!2s(@ov#L%tpPtM6yCfO=Iyp>eSNijeQtt^>Ok?>(X%#dn+j=yrnHTtGt0UDn})G9g|i z^SH$!S?R{hR2rWhIA(GMCEc7cI9e&1fgGK0F9aj%TgV?z_-(N-;Z21QxpwT87-bO3 zT7FZ4`-_3DW>=sXjjm{yCc*cs_`3ilI1P`}JnQgFYt9a|PQ8_VVwy8buA$UuA;|Pp z-qLNN5Z$JD!%AE8=t#BAp&xdH|K^H}tv22tt84TB!P`4PSGKMDzB8)Wc2aT0wr!gg z+qRvGlZtKINyWBp+fHt+wf0_n?{m+)=e^fnd$YCK<`{i;ecfY>-}v|9(|+TNO6ZK% zwi=WQLTkzx)zy-{3|cTwmjf3p{+iWS8dsZST)6H=%E2Q2L>zEDdz>ZP#SZdaG^Cy3 z#!TlT?$z3u85x-zc~}<&oM=}eW@(bf^ZsFq@mH_0b{227!1k~pBp>5i=yNhd6E|J> zZT<1XwkCE$5XRY0Ml3(Q+B@*q%uDK1mp@c<9GTbrH5Xa6`!SYFi%TS8=*x45K)c|9 z!SR+o+ktU@tnJEunb5WNaCwYysPe|yTaiZA;V0NcVV+*>*ztXHDI;sB7c<3O%bj{m z0SvWNW)x0C14I6yl;dB?m!yr6o-x~Z&X2jhXSUe8`-6a-Em8awUFV+bb+W(O?z8UL z)D#O881dJzoAaFvkWpWz(Sj9^O#2P_rjT#L+jqOw<5uWD_ATiI+P&Q|@bR%`#hl+i z$YmeUe%;b1rXC-JiH`a~Ge(UhrOOw?I9Hv)GBhrzS$S$DM4M^G>u=aRH)VF5!2AS$< zf(~g8pHg$^?@9n`R)lqrEc$tVFbVpAoRN5|JVL3|vbe{Z$Y0smhPg|y7S@@jX;_W}EwnsT~i zD(Ge)VgLU71@%ur$nJX~$qUzrNR#C~_n*6{#L(p6n=u%l5iTyP-eR3~D>6%;54uxn z&~+DY@3_nZoeq3F1yAqr59P_Yesbqq!-C7$Exq5Of80LfsPc&(Mybp&*5Pq^wwIkk za1U?j=3w7A^^SMB8;yHAey9EafE22?$^GAWppRPa_MK!(GOM?~FMMtj^RK*Vj;>tB zBImWdmrs<2M1cUk`RP1nzj(}3o^464THyt*SLfycLv-9GUHKth&0{zpc6ro~6$wpq zRTL`#<+w%nU2n^T=;eiN!g24U*zfDxhL{E^SVLPbnmjH_dhWOR{wq~k-ix++uX2Ui z5;u~0C*`52Q9Qxfq{`J^v6dF^ZQT#lqpuvTg(6B)MluTeQxSdH1i}3=Q3qBPAz;U& zQ+fVmZhiYinj-^EnJYiXpmtF+bBZ zad46peW5@xQxLWPRTk=rMykfoGC4`RD~kO7L+5=JP~Ww(xF|5Wx&i!gq8xga2&M-L zz<;J}>jK{*u|LKpAvSHP$Z&Rh4)99mwyB^$wj7w*+vju(TsZPcmFl_nDf+}3L+@@s z*$d%JI=>nAs6D;3bAAmTWvUfDVT9MwTz;ulIlJT3oG#K{cbdG0-E9E9WwtR3ZXiF|V!s>#^z(`4PVml!8-Avo*)2RBbXz9Nakt zV`zd^(Hys(Sz??rn8gm#tni$Bgl!f90 zb!FT!)#xE=zvXgS`3*K)+# z(Q&opkQSp6qrXQ?($o23^!0H$rQZ@@tAwk3Ylk-BAa!T@bri;WKE$Xf8q6+s9B9>N ziMfO2$~V(%<2jWTD_zj3rN;C}*i@ulc&+E;mzVYtF>1Y>?Lv3+#@VwqE~m6;ep5!9 z9W6z~2z2?$GMTq$IBJ;;>4-(MzI85CG!&g~fNyy&&vtfOk-8vbA2nqALnGGEk1TyE zZyY6RW&DLm^;@7*$Fq;{9m)_|E=VcL!#Jh#qVlD;x;pE3dugMpq{t8Iz!UqMo+aAB z9~C;_gcgOeHr*)U^~M)1os=)-PzS}l_jRFsuQ68rK)wuXd1JhCH9v7C^K5Bs zqkS3pa3a4@7J>~RX78IdUcFO6YBW=__@59OAFgz_iZ9Dm|1Eumx+cD}yzv0rSsX~n zJN_ti!n$+}0YLOc>HM_h+r3jG5mj|SK(xF3ezTSp$$=9w<%OG^{b|AQYnSfX8kh@` zOeFwGRxueUo1phld4`J{d8BC?qhK&yZ73St#89TzA+l``MJ}E!MhA1mIkv;lc+aZui zD4=sp2PKQbwYM0m`OaEKNs^(7J5ORxZVr?}-Zvw2Xp0Rg6~`|{Gd<#i3=1?dv>y7S1H(=m{jsj2*BnBX8NFfDLbF(1RMu^?njc@E3%02}a zYKRDLJ&}9Yj<yUs9~r`25Pb+H&Pv%uV{&@gixmV{Pct1s=!CUf zFxl$M@=$&Cj4Riwr>0Q0xil`Q24dxLK9}h?0cYM9>MZ}SfP@>Tcp|>f?EKcM5l&(u zO{U+03Md{b1ViLYee4BtqG5G;Ttd3-W%1;F64d_%Kl3Du7OYQ@V0|_>717`ilUKM; z7n**Ej(;m(4ig5Sx79t_5bjsVVi||U&iUM+OAmfE(}9;+r{3<&q%nUzGW)TvNjbDP z7ZO#N_$(@HIF*JUt-srR5dCfMbe`}7{jwelvv(MVw>3xQZp%<=@Yr;^*+@J^*I%NK z3n((Oql9NjLTFCb)zdx75A)>5b`luLH6<(`?)P$v>H%i_0r-SMq-N!vMR!tH6HWKIwv{3HRb zVOC`KkeNdg%}>jjX(hDuTco)&wh&&@O#4J3%U|D`rY^biW@F(yh_VbV#^w>0 zO9T>~?32Kbma=wsf!hd#v5(7Fr)zl2Pb_mHq`sdqq~&0}dFY3B<`oG#(HA}Z#1JR) z5+(BeF&*(iP~HW8#M#P(nKwx7iA-IO5T2xI9mN>`C4FtkOED{?iOWQCQ4aa$xa9Zx zQQV|I>X=A57HV<&cxay*9TB%1f(uoVZbk(XywqQiCy`aGFmgW;E6e_Mva0zQ2(okq zj^;=NleFAPdO)TP4}pHm(&>Tx1@89Qx0Q^2e4jpPPO?knXeiW`4~Q!1A#)_DmG$hqiW; zt0Y3iI7TfQDHyJzAX-Fuz>*`qTs(cm0mpeTHBefSx+QN|~Zr5Aglv+w; z#z~uV)?%TceDHBM`JJVDku>YnH_X_Cd&!F{71}ZREPeLnsnU6C&&xB#pNX$L!*O~r z-I!ehK9I!A4Na4=9K^xg5%cV9ECkYDI_#2GYQn|bqUSg%nTc$1y1f5npYmG&WS=76e2W{KBw=}q zap?$Q`A~V6x`2IkfiP50SuCdc5EG@9y7O+vrl?xmBxrReRE~X8%Lh#MZC78Ki0}C~ zX|=mXgezLkExyyhn5}>La7b_OJ#nBl4t(bExqsL#n$(R8A4Phrw0!h9YP+Aws!Y}0 zHvEFLz^My)Zb5@dM$sII!WiH-Fx{1x15v;eJI0RH!3>c^P*Mp4 zIEkTXKC@$9SReEL*_cuQ92Hm)I-ex?{V)guHZZO%F^8$uPm$gc^BDUhZn>ew`YDh7 zlCkVr^ZyMZbBC^_oZY7Xq&NRk`_=qpDa?Z@z5d=XXw*&&Rt^zOZGt|&S9u>?FST*%b7o!h%ONNpc(KBxX-pJ1&OR8vXT2+Ei?w#6na3? zOBN~em~8Mq17$7pj6}0`)#gW#LM#@*oLA-M-(Bj)wFISe@0_KKJ<5_RNnFw7*t4krvv&ddDMAmrT^8j%=GUUo12b-}W`epPX9Q(iQ^- zZX7Oo+$v5=K|M#l@JfmKo5D|2_I=CjnYIeWSZSuFqx;QQ-Wk7@@(f8SPMVD70>a6u z=bx}5Y0zGFLKWMMbCl7NZ@L9^RMOb3u*cIytaxuqI*$nm4eL!dnfWu^c|{l`Fgc3a z!j%(7k_z$4z{eD@abdmFiC-XADH7>}TIQd~y5YneBo3w z%^-hLM*8ZZG^|eyZ(XRK>aLlez;7EWA_1~#{v>g+_<9UwDPkKrq^H0qhh)1dBHGVp z!!=}WKEx%P8-`@-!#n*FW}IW|UV$lF-VDrlW`;o#H%*){9lTQ@252N!=^xEiA7?%2 zyjhW2-!&6rKW^SLLrbk(27ZRq-%Ehd!8X1Ozz&q1zy4yW@BaD2xbmaNar}6j`7Xq? zy(<}#&r(34rDWulsq+=igiE-Bg`jIa)o*a$S#pB|;5Rd2N(|!{S?bJ^v5wm08bV7X z@ein_h#GmE`j)qLu?dNMeCawsm~0KdJv`K8`v@fVR`1Z9*ROSl-d^rL<8y)5puw!y zn5T$|lz_P2uc*ycj9C??OCH=zkB!4U7ek!e%}6c=ywqT3l+F%^Pada8ZYv`bJ&O!= z(uL7NU6SRz;bN|1jv7MXNfG-!SeIlF&t5FuE;N-~;~y`NN83iS?U5CJ7MG=L*V*jgM81cJjeX=~y}iqXLZ<-bDR zsNm=h12cJtIY{h`aH{Phk(b&7vSTlm#qF52pao3c$A#2ir)M53l>jQvdQv5R_`Jn< zMLa#N3k?B;Q!y$oYn5Yvbdxuaa~yQp*=$1K?5|b1X5a>o@`QJEmM4d1KhCEMU5ZiI8cM2?Tm+A{G2aA^T?ZQE)j~sLg&e^8Qmz@%Dy| z3a>In{2-ygSSgPm4@!5}J$Ws)J)vHo6rQq#g|7^rTqdqDwLLO;3!b-OF(8?hUt1*1 z=Z{Zild|JTlDmQSz6ghQOG0eYkEU_5U5&6XZG{B)AMiwqrSOi(A=yI5Wp$kz(qRTu zsZti@j{f8;w2Ow-ar(*84}E@A_5k~mUPP~j3GG@wx2mfEmW2&7B}lY-B@uv9-875H zsr<}AoGY|0gVtL%a_co^{@e(nvtk*FA||qLd{YA7ErWb!o+bG=2_t0?s+vXT>r9f5 zLiZJ4=wRS>a&2uc-+PTq#~`2KLY)KL_sjz^Y%`k4e5+?q87;^=`!q^X)FZcVRFiX2 zseT6)JH5OcV1}R@o2t^?P;eK6DdCE&$=;pQSml?3itr;;n%yB@!!05)AzA36_U+7` zrkFWu9`d840tasL(no(*J>xn*%re()9`VrmT@_$Dv8uA_WNm6F{T4wWq%hi|>po}e z#%=u(&>!y{MCJj0Fgb5c=-fg^VDZiDCWIj1E}?Cjv`Yh#E(REW7WP6-+n zAz@t7Ju_0v+zK4bL6JR9)%e$_7E>S^rPXrItmW!Mxb>BAU#auAT4I2dh06;OE1hnP zS^XWVh&R4qC+d6{jHB4@)SUgq8m6#XO)#j>)5?E3$~Hte6U zEB`W66ZcCl79;PxwI?D?KZguhow- zG!VIDbIIlFwae`+DM{x-9UU6E>!kQe4^)*cV{KVmt)wm9EtWMxwt>!69+`NB;Ty}e zfNvOCKUfV#B+UfhAsC`_0D76J$ug6feu|B$PAy`s!KFxo?RJJ+-x=yt&&?aL~8C*pL6F;RnhiUVzz#h2}cqaw1 zM}8q2k*Nm?bvWdPh6ISUk&*PDkumUKi7P+AMQ)i>T-_{Q|9AsrM{ohx+TY`a;#~2Y zSF1gI6inF-kL$I^t@45?1R~@4_V%edpjakT1)V}xscE`@gZf9C8}EQy3no~=8f@0& z$9pX*FRhLWwi|HW42b@Sad8+ofk@~OX)2zN+u=MFA8waZMSv^gSLT4&w-X}!0P*Fh z1&ck2foyxjiw={DeLMJ$8m#xb~6DP9nZfRZowb%7CdXiO!(;_Sls+>l9RG zV!{)Y*&b=L-!xOiL#v(v-E z1v19F8RzZ76)a^*FZR9V9y9g#JCltG-MlGlRAcd{ytpfwbmH*9+&rS?N4xa|v+&+v z31%qo_?dJEN415W$C@E(`Tvo9aiRMEoqZXy2>xrh#a;shDze>IE z_`I7!GlN1iUO9Cc^5U<@*>nT~OP`66PbDBEIx!O~8Fgj13ui+E$nNEc(x{%F!OX@o zZrPlH>4hJGf0gUnv~=|V@(E`!PMM^>(^IlQRS>h@y^utV`ld6gfaJMHNk?VX%!5Zp zVN(SK3iJVr`}_m;+;s9G{3okCe`PNZpdIK+*jnwsp;o9_^~y#^;%L-Tzt<%xJtbcL$eQx%}Y~X~O_bB<+-HP`5x} ztU;Lyv-KZ%!!9DNP(VRm5sz#XkV{Hp~Q_fyiSxecbk z<$F^)cD;=$*(FR~s~1 zuFUJU!jcXGL%G}hJcf3IUz8=3ALvChgM0EkNLxqpk-C;kDhM^TDW$) zq4XbQ%ej`7GP0Ras5mThukIK>wBoo#YXFhzYC}gZrsuT$|DcnDn?_mSMyI~WG0fLB zV<@znmG$LwZH7$k8B+9}Y_6jKhJ9<(dy6C~m$}ah?B=8u=fUk|<;_sw%1~3bZ8pGF~OmLr+AJUw8X3LHCj)}XktaddLE@r7MyM1NT+ zLgc&&jP<~o%LiC&Wx(MF_M{m%kc^JO8|mKs0>7(e`3P}QRD8PmCZoYaJEEFWX=XiN zJfc*(Odxy1+dJAXu_Nr(OL|B2XJ{)yOpbkhHBK3@^!AsZPD5qTw+kE0s1>e=r$$b1 zhuG(z=?LX#Q^K<-o15ncx)A2+tZ3$3&1m$%}) z|D;qNxBE-*2kbaOGrG~5fB<4-X??t+DG{=SE!WQUSmDkXYn!l@b$x{8_e1pgli1a! zj<1-W^m03sQqDQ=foD{gr-WMN&z_HP(&TIF)9=79v43C@>mdIJft)K;nXI+N9GLX3 zwTFuf^h2F@wxNbJ(sqBoP73qfDvy4k=Svk?bF|1Vouk z=Z=P$9#&WxqnVc=Rqn|T2YSo3PfHH0)GvN~ptkmzxE+dom^CITPFddRf1x0elM&JH z?=9QPOz0NBHtngMXl}(5pu7&RqaoY;C zS(x)&VKPF6`~(O|vM3mv9Dy zNwCMI`XYdm&kBplYSF`iFQMrqhrMN{j_Q5N<4Cl?3kJqlWt|*K_$6F_m_MX#?oh=7 zCaTc6VcL0b&(kULAyHnnU9xupd={yZ|Do0LD+r(Pui&jINz9o1qgLnjqoep|ZD(#4QR0JW!Tx_r>;NAp z*B=m{cIq)qnQxMajF@j&zJm`|;oshK@u(xVj{KDcPmua)qvZ;Jn0iE6k^gvNUM_jG zAoW*Fes>%l?oDNbu|hquG2A3do9_YmLP~h-+8k6MAXw? zAW_CzjS2&vi==h9#kEkZJ2M>;roBQ zJ&Ri0_>asg-{JCqSxL!?EVj&r!b>y>HlAKrCg+YP{73m&3-?Wo;3*maugn>;nHhaU z^9?@({sJ#YM_m|Oz46T9YSOd^`;W36MWD1U4*|n8wZZeDij5@x9jU)Gpp@lNOApY%M3aN@ z4R1)~+_&hlKg%w7;D`yuxw)_F+N=b-2K=K+LABXhEH-2Ho$7Oj|D5lEV({rz(7#dt zm1l0rWZ9}sa3?iEjYY$MfrCHS27uIp#NUwfS2#@TTLH*_8DW#)T%eqxbh5zwfO8)A)ao+(YsSKCDYE^R6uKQE;F7!Go? zS&^B)ve3Ho@9f$`J=R|(*~#)Ubg~Y6?4nTqBPj@l>~MLS6D$GIBS~GdasO>6QS_M< zxO^WzVpRtGuM(`9P5otFY5(Gna@w_0#{9cV{_eQNR#jX-{t&RjSi^n(tDVm%)>qVI z1D|64_V;Ih3~~6Y1>)z@Lc~_VPxn4|fgvt{Vt{`6(`f%jVP8HVKHP=rRN@~7J68NlEGyL3 z>A70<^e<(GTQl zR2Vpr&p#I@b_a-Dj<3H!t^$1Zo~C@EEPTPBp;c3J@Zv{V8?K55r&E0$r}ZGmf_cU2 zx4a!?7pHPz${p!`@?4AyMaaO=mby!lZ82X2JRrz7ACBG8(d5h54Ude@PtQ%x&XjtZ zmHrdhpAD+kB_nb7k~P0RR!n6|lRvuZ(L#@H zmuLJ%q?NnNv0SN?=0f+~D%4KQ zLSD}HX4uCNJJkHR(<0WO$d-D*^G)R9or5FNq!`BR=i6h^9+Yrrxd`h}hOp_VgbWV@ zs(nV6&C2FL3!NkEv4xD)#N700`OWu@OwB^SZx{9Fio9tT)+e3(B|~q*r{*U~x$c+U z9J@%A7x5)+$iqJne56J)>#n>JpZA|VOh$l{K$(<}@11Y1h}GZyW?K?L>Fv9RTIv#J zstUl%Ak=fh$Ar)v+;`+RKghnVB~DR4sx!Z@Ff+W6kNh|=CGB%KvzW6lE`NVNSjzi_ z0zo!`px_~^P&b+|{G?+u2GQe{40zW`i!FQAc@516(@QfVRpg-=W{J9o=-`T=^k#uK zJHGGK`l>08&6g2Ax{!pN?{Erv?($e(3$N!#wcM^Mm9E{5 z1#6X240efE`fPUC{Zw?AFSkbO42jPtrQNywGzT|YygBgl#09ge z9pzJw{V3bGgg4e?R;Gsc%m*StozlHpR2NeOaIs~CoR9Tt1w^R~m;rBsbQp#8hA)F} z{6&jc8Y*LV_!AaunwFb-s$T=JMoOz@1RsYGt~?;5Auy@VD9 zZ1wVkcwm5|2+P2V2Jp{;#gG_98}PWjw^MySbN@1mBMha< zD&6To+1yOD0oXh2-pTh^%e>CLG-y}@leSnREIclrr(a58i+gnFB7=hRRztjRM;rdr z@)v=P^+$Y#$NG#9)wZnWcypB^=le@}Q-Q;2!A7J8;cpAXEye{M1ik&8{YBQY(2=lqPP1mEP!`r&-+a_guZtA* zUuOZUlRgWfoVs#NIy|2b>kb8B0iePpgC*ts$h1tZ!iF1-y2E@qTrD4c>&?HK5w$v` zykGUzb>u7ugm#g&o4%vSt$7^^VbDs~mC1XsKaewhj{pUM@NYfMZPYte`DmxF$0E-fhP<{Q02nO6RppZoPUB#0HIZnNoV%r1K5cS25HxU0fv2qohy?97?S8^!U( zwK{!Pp+OI4!Mq>bP}0~0ICsuejGU6P7p?8cE{d`ki!V_eFRgOEOJH8$QFMDTZ+j?& zFpx0WtQJlcNCB7cAzpO`Gx%q`DxU9|0y67cgSOa5h&bD~?gDZOe1}L;qGLw^94d*cKccIBeBN(_}5$X4L=^oHpo?uNn zY*(gqo3VSqq3inOE5)zwU2=OnAFb>Q2DeUI{nY!?$w)}T!nt3TyQTrcYiql6GJQFD zzJy^A;LdC>$&^c1ZJ=UtYw)1VtRlQmY*d^0CKdy z((au;9+8PH{bBWKQXV=k9yg9w=2|siD5kHGX!z0>;)964VLxvtPM%T8GJkaOe6Z5Y zy0}8scMzqHaXa6$@J_!-f7YQM_lU&{*()R2gT>oi&R%CKy~=Cv#?1a6r{1XPN!Nmc8W=V2!dyR4i!&mVax0jyq zC)H~*l0O28_mv9Z;}#&1Rst;_8H_h7@_WpjfFRSZHjI(<~+?kQ={h9<5pi5{B#% z2T}uU2@Dxlm);7FBa%ALbnbv32C+mtB&rTIK}Go$fB@M*Ddk;)hCtu~SRjCG*pzZw z(~L5@@8Ij8CD4HEG=g~crU!p?;1q5IS^yyXk&pBg(u;wx0ftF?lh5PJ!0APAfVpz*!gJkL8RRl#4^_>GA0Qlv0??{^WxYJ&Ve#9LU_1jl=!w<$3 z(Lax$W@P*_mE!@-J+2`K;o zAi)3t&?>|q*}=#})&KyBZ;K1@E4ikhX@lz_i!N+vSEN!uZq!6c(|3Ra=QJTmkmtn5 z2)MWH*(YmV#I0pHCvU_{lH^Yl-fcwDk7KNPG?i}@Sbd&V5=+(^jt&4rKQJzpO^=;< z*ch91x+$UsvDt3@@&f^f!(p>+F5$Cj1mOJbV(p?vaa`_^w64YeFJEho)d~u+LVGvD zR!+jwZY3H8)oN7aBk*vL(kF>Ysnd_alCHR0V2`+r?sB#H*F#xkY1e7guzx1-XB>~e z!^ck;!ke3y%h%5piXJUQ4#p8766zMu2<}U_zNPx7?=~RHUxy7Jvx=|Ce9fNpcN!JR zP3<6S!kbI6X=M6W2G@G$B{g&v!7$4%G4rvq`bVYsh={pBNtN77Rb(E*STm^UwaaUm zm~TA_Og6YuI)c@F>3rPubHso*4Zr`(Bv;?~WTgs)qXJ=v;3E|&q(^a-8~LBaw$MMq zf?RC@u?TQvLP+Gf9P(O2qCtp=^sLJEw0s(32ejXr(%5Jz{%M+^Y*2i1eSWjMW3Kys zf^X+A62>+^0vJD6pujH@ctSApIZCV+4`OA?S(Uj2viy9u{k~`%_RG!!#gM`;Lvxj$h!y^<%zE7Q&xEVSX+&WKekE4vU*0;iccupI8vzXMY}Z z+t=P~UP#XMWe;2jO1|rA1(6*QVadl;0|&v60UI)ht$s03c`|N)^5Zc#(|=VQmBsjG z%TdF2%yc_~B1NhIeqS{3R?3{20xnj__=nFOnAdgdegE%*0s*cIF6s|hgQ$x|3O9I} za6Ove*y;M*kH(6OrYDZ+fhCRqVbcBcsTpi(WKQLa*On*zvzp#;HJOf^lRFy`R3E%- zR`ZR`ajt5Xwyt$p>dhD<<7+oUrKR-%aIOGi;+P(T$HKbFy)Vc=+R-*??(bHeqDses zEBQk}4v&gC6qr#5LLvncq!Ciy6ACJj!0ugqHujMDC3T6e*ab&N!`*4=$j;JUXD#8? zjHi_MeVdnOjG37BcNw?DB1F?BgaYT0@(9(Hz36^ETv9U&%_Vesf9MTdff*pv;#i_p z>qw3JEq=Tx8y%hbbiB*CZxx$i5|Rk3)uWeu+%Hy1(Bt6~3Rj=K9-_aK!@$Oe3Iy|h z4#eGs`US)Tmoe+OiuX6JZ9JW-?)w9ceqIlp9o-2_ir~Gzea)K8_nQX9iuE90sRuy% zR*>6aV+Ou+?>ne79P_6}V>$4A9=@8?+cAFLbeBfvKT8hcy%4Z6uzy(#D1G|4cX<%E z`#BgOC$HCTvd%6u^3QP!b^3%v`I}*Yh#ZhWAhFbU;BXU~5ISm{7`-S{^TIjdpEW6i zd7z%9E;!1rIsKioymU;AnLiwyCYOx^Vr^>ZE`)&@Po6N2YZ&2mjh4_kN03|Q($>H~2H(xJ3ua3zM@8GQGBWU}mNjuPW6hvi9MI6jl zJ4cqw6obT5{GKoiOlZwCKP`L*J!wMdTQRE6*yO7i1&qMq63R!8LP#H=Nuv{tOhyaW zaZ?_KMDeA^dFt<@Gejw+cAOm#(faKFIqVA8yNgGO2s+Y1_Cf@I=fcac;G}`ULp06H zCpAhbeIW?a)N^;EK*1AIry_mj@yZDud<&Z9iwzw_hroFJx=SM5UtT4jS@-D~e{LzK zpjnO>CIl=>OB(bQ21E!fmjH3w>Kg(y9Nzm?goFES*a}D&E>%78xR0I&6wED1U@qTf zNB#m^XpH_(k{)=3FsU=vVz#09Q#{KLyH?Q3maJB(^~f2OtsxZ5;@86J`4&eZjt? z6b_&~i>UL6^Al%lp!6T|6Z0>1n3--mar(w6NBE5)uFzZ=+-KRdSeQ+3>BfxWD-`S0 zroN++oe+W0tHnCjuaiq5y)pe6#Oqzr*|f&c!d#7v>8}>_{9Zzc?}~k{euW^kC5N7K zwWIS>znJ6~?x=?QkyD?Bkv(Rg=d>#4W+D_y{Bg4mFW+dKmceZ*r6Mu8>0ICKCR-i; zo+rai@8fPgRWhVy=rFG?2o&OQ=gn|+Fy9vIc`mbY_8qW84o-Q%*EYKI9%$`b9kUp zh;EiHmYC9(bT*2>_k$Hyz2Du2!4CN9)XULf5uiXepfZ?Barr#yZhC;dyuK!{pQ|?= zkfuB=G`V1j*ZgD&eVOY7Rv;HhlhL8yV3%0Ctch;o(F+zH)2W1O&$qtWK6UWn=6S?- z35t9lCF9HjGdpsX26xsW3?u|m@%$JNidFc=3azitPe&1NV9WVm%!d-nEpDl^re1DjJMUOs31%4toQemDu*k?X0rN_X~?@dPwk1!O&;DULjr>6Ru>gs9NK?-78Im;i}p?Oyu=4g#%j z^Y)}Ggg>U1KSWGO3g&ztS663a+tGk9qw(o{-XcY|TCB%n>1C!vGey>Kqevg@*XX0q zd(THzhVpI%F~YLrS-cO@ zQ=i$XH~}i9s`KVBzR__|xD?8Yu=b_pKl+NXVn$M7X`iPZ=5^tE8=zp3@bJXIwO{x8 zn?8nE-I_09MW^=gYkhv=>>-u1-`#bknLQSkoNP_5*1~E}^13bv>hdhYKL2YC>dkkq z`m;Z`C)>&df=?Xz>vzyx0130cQ>cJ>jT+1s0Rvw{jZ$%O+!iU!BXQ>tH`~k@Dh}N= zdM=7|9^bl;w+UJu?~5+(kZ526{*KzXcx}NF9ey#{xVXP3VP{Zx(@Epk5N!{*i=~gj z4d@j}keDZ1wO=6!V?y$z>Df|fVpf4C`%2c3^Vv|Yp6hWQhwJC*%1*;2CrFx&@ma5q zHx8^aur_>W>-No7M>oPfy^gLgWvB4khp?@b_FL)hzz#5FZWByXa1ti+<} z2ZQyH)Zc)i|rQuzNXy=G;keaGQp>yZd_XTd|xq+g7HI`+69hg}`J@Fn*Z1=qtl(#xEX$rj?w-g7v={pV2j+qo5lVP=J;}5jE$TGarHnowPi+FwajNpa$};+9hS4P zjod?6-o&N2=OcSAz?%Y(?QU1)=jXrYXew*h5{LP64_ihkxS%(0Y6@QSxg9bUI5{hu zr#mrdySjqysqrgOntT-_o-Zy*>6Pf8~?W+vuM@jD&UgmapiCG()-r~Vc^Z2obGR>6QZZCY9 zrP#~g#$4;$W*ry9()6Au0FanWE*pCNotrXZc7vxh#vvkbcxdu&olDac-iko4_Q83x z^JA<|ohIG*#{%$4ErZOaG^PfvnfHC`q|Mgxuk~{TpA^m39&7Hl@iRt_#=niS`;d%= zFZ(#ofbIX%RDvKr@C0cvQgy+(I@1!U4Q@oW{(g-ulCl8O!7l(V)oP)4(Jz>+9GHg@ zL-3WEdqGQC&L*FOmbhP|0^2;HL8iOs$?lOllbuS(pHJ?5VR3`kBoxd0awLUF(cd*@ zdu{OYB0~3e21oz2Z8Jl;dG~uJ)utc-oERP}#_pW_9fm{41@>)-F#ce(u6Xh?>%+Sd zv#nC#s4!K$MG34~`n(mdc{~?)829w;elw%j%J;&2${Ir}tNCIgBY<{mRUzQ5aO>^D zt}WKKX%{ak()A&$Od+G^gthB^12dwwMZJ{Hxiu@VHMJVI8Ck*Zs<-LGvacO60H5_d z2b@91OHRwC&x_1Zvm_v-{B`lhySBsT{m_*0yN}Rxpn4fPtkT)%bD982*w3_i+r5N_ z2vI#U@7JBuF#*#PYPFd1lCUZFxr(A+!u(kP3y!;CpR~hDt?Rz_B-W;cly6Z#SF{{yt^0G>fA<^? zAZrRR@J)bITS5X{Jl^Za`#c@-%sbQY-vDG&xZ*3BrVf zTGr>}ad{Y4!uL@z6I;U3nKQ{I#5)(MshRJRHBnN8-DX}rFm66LT)N-?7gz2SoeRSQ zT-J7bYTNCpZQHhO+dZ{yKDBMzwrv~pelxRX{cCP>nXDvxCx#$<`Fxs=BIm2&Gk-Fx zBpGb|eiKilc{P8;27`mDRM1Fr4_(u4gK27Qz6+m_c5NQ4s9125n-9vtL7P3j{oWhZ zAR&i~i=mnXIAH9R&$f+vZfFq@$!%%c7r(1}eU-4<`g#Ayu{>?5r))qMHQ5UVu(E3KKa3yunRp{4KBhI9+)ccM%7B7oOtbhtjzYS0 z$9bV7XEVj%vpOwq+L{=XmsVCYgKh71hXV4)GG_f5lX}WN-eV9M{sB0lv9|2Fs&#dM zY!cCPw(4DP|BzkZ3k5;aX>qzs7`Y%3_*gB^;~2vxQOHMEt$#dc^vBWWCOn&;d$MN1 zoj|`U^p%P4;)wbe`gT)*`}|AmxQUTJ1KQ3&-1%Yt+HbuD^!T4gO@_^A;2(&_YCj%z ze-8vII=T*L@cZwiQkdhpODK#ad%}_~Rd!Q%J)(VVxTZC{^%fp#Jn3B7dM+h?2OI)T4u zhY*(X=;!;}g%hu5)2x?O2 zgN63VRCQH9H#uRUpriFTK30-Chu@J}=_Qr7zG0e?Cxm@PR?MUFfEwj~w$SDBiGbFf ztt7E)U}aXy`~)m_xPMh$OGb_gL*-K5EfD~rRp_lrd$(#`ho@x78=S)3-%D{%Cu&M+ zjdG$cr+haBbDP=ZQ?A|Tg`@xB0R6!VxBr3HTr8^{4YvpAtlmQMu)R;$8g@SSXFor? zI!g5q9S7s%&G`HSD8Wx1pvTphBrevVYPL6B-rH{Cr~p(ymytHtzkTYjVV>rpFwO6q zk2u2hP5C>k!QAh*w|M5+fhxJJ^87M!C2S)}oe;g}<09D#P~qKVS`W>Uxf}s1?e^o& zEM%6&u4V?8oy&><`w!;__M!yNMV15PzO9hiR3;`F|6TtFD7-*t;er^;c?qX;!;8O< z-ZUwTq;w7XoKtEG*sH%MPqlGn2R!>XV8^;ZAE&cWI~{Qx$K)ucw*+~&G~C^GVR-WZfPL9ks|gKjU_|E zyx(A!EszJzORpR99ah&mjgX!ch$%G%O??TIs;mW6+HIk@Zpb+2*2~iA4EWCJ8h5qQ z@yv4XqL_rk+5>Tx`z8y~_t`lxcN;6r5=W5zALG6ZWK0-fXy{WVk5tT$&`)9@FF6w!;%32aScnPdPaeV$?L7PV4CUeu| zN-Bn+Eq&ES3E3Sy*{X@!wS%f-g4a#ilf;fE>wZ}ku3?GgOT3&V!XOf;>>k4|Ee~;!BoHU5Co4Z+yTh{(h*Um(M7+l7VSP??Bd@UN^ z=cqOYVktZppW8sO>uH74eM20;4+bsBv&KqvrVGpFQ{TM&D{5#(1}w@^a*ZiNn^ag+x}qq-hleo8csyq* z%;t1T85VQUp2#=0jgZ{}ZR?cCQE4o`SQqr>SX8tZf6ma$+|9~pWRw?BILWoj!;5Nm z64cyv`JJtSD$1nP-_<4=$H$YA)_t6%U&*3ywAO5WV^BoI6M~*oxJBx&EkKQUu^!y5 z81Gciv-CdE7nOA;M^bwMXPS_f!>y3&eRY>Ss;5=wvQpCeQ|4I56U4|ybS-*L2>29w zrR^PRb~B6-=kq?4+Eq*f$ZI|yB#%S#{W_SNii?AL=$rGbc*>*f9O*jzZy@ib-Oac7 z*9f+NxW3{muWh6$)-@Jf(MTKWC@#v#oNe=G>R&MWULgg(+akJ1lvSlB9v&VT3}clq zn$TQiw-~o!prom@@41`8MWZG&K4z&&8k`L5qmvqr5c&no?ceD^5@pDEy~Z7+Eug+4 zVXpw>p_WyMMh&3u^Nl}^qLj#^;Ve1dzT+@#zOWE*+dlTOl0LvsbJT@xUEEP{8rI|0 z+LTjI#b06rFMii@l*eu~z5g4F1cAYXhe!O>QHsx|5jw1nD#Y8^BB{}HkP;Ysvyo`nyBA?rGqjpgwbR1%S{|ceg`_kM#5!ip{+w8*j zug+R%tSO%_5WIi5%iuY2KP;nKBGl~Hkc^xvKFTBl~Z!}j zE5KhOJgEp=qf0}=P|I3@qAmEJ1-zY1$|9`BXiOBMUi-8I=_%N^$ zxOAq!C{{em=EeCypD`Qt5#baAjnx}VHLw$UhYj%~TqV%Z*J+qg87$-tpc&n@%r36a z3a6cFng5Tf1>f#({Aq4H?3wN=U%YBAcbPQTzrdKvYjWAPfohbj71b7i); z-enS?e{t(t=kq@7b&6}FWcVE3`_1dJe&tQjn*=Gu*j?B$-dOln~pK7+4O=C zqOi=?nwks%v2vH^F4_y^!P?I!v{Yt%IPzN$wH+0!!t3>zNU6N6#I2#>(#ER$Ah}_+ zlILQKox21Lm(yjV5&`1VOjcd}`Eofe5DOB*vs=oQ%|5PIw^<0ThFaZlEunP0iM}G`0Ww^0nIPbb0Pt z&)!;pmvwbqepYs77jlR)p7=klJx-<642B>bWqXF-k&?p8jtA!bI_HDF-={j`?C`Yq zC*6f~7`(oMcXE$`G3hm*ui?}CJgmanf=I4Mv=VUdpRBMvb3D!%o8y8|ZokXtaoDE` zE+-somsIfnT>8iUZm5w?Y&n<>j+$eBd(J2Q{(O*@1lFlRyn?&v!d$?(_xv8ny=)vf zY@bgEWbjxnA;`F{_WbJ%-&qLPOif%wj#8YaTBC4ofxX4>M-N^D)wDRa-3xGvO%wnIZZAp+pr>5fWABQB4*%~r z7ZLTsZ?!GwRAWrke7GedK zOH@t$bw=qeXIy~xmQK+#?xBO5!F~*0$GY?ebDlQ0SDObrW@|C4on(m*JU?ruf5f%^ z!Uu_+Fsysp1aEk=z&2pXR)b(`p!X`EV)-JA?*BW}fQD~kTht~W{r^H`It6X(O#jD! zxH@ph2KfIt_uS;SvOkxVO#i?T&=b7~uJq73vAO?hsZ5VuF(>$@{JpBY@=dL0wa+uX zqIv5`c&Y^q1L`t1dgTIM4Z-g{A+PnXb7u*hd%e*$%fIDqT2U7D7VsaiB{9uDEAWY; zU+J}>#7nSt+MJvPri=1_Uk*OeWo zG;a;I4}TMGu!>7i?+U8F>js~r?fBB3yyk?{9as0v3qO@~_?f|B2|l2|7FPT;|FMH? z+hg{!xFRBDUNd+})jD<}@Mwyod~Ra-S;7)D_!GoxexcpO^m|BphZPw7K4mIzZ(ue% z=X$aqKum4!rW;+^iGEc-ytk3sE4c5dHL!m~7 z^rVFZi>J$&W$1_^wQ;LmpswLN^Lqzv{M(#9dElNE$N8=Xwm{r3g8N$hz4AxdG$-;^ zUiRTwaM5L1?4}U?yc>U{Xc0LKt6{ip+24{qk<<+#pjU2IwbGY+Z^>ep6ja)#zK zvwwW1`%<<-_apn9){hlZBuW2z?Dj>bt4+PN&zTTSZ#=ho8WY0tPS{G&ag`T}0Z4sE(9c(wk8M^;>Bp>D_VS1a5r4OzMe9KC%k_D)rYfu{U9_v@u zRK&)`W%W7)j@$6H+55XL!|$7H!yUM*EjQB)A_tLXJ!P6cJEYW4J>ROzD!h_9z4>O7|qtSHv2iv?~L<{fI zx>pZcve7iornkAlwT@t5s6l1=8`)4|>d)y`#CR+ugohT=8CT6zymYz7h)sCb$wFz? zSNAp)GPeg6fpjd$0XGhZDwn3ds-nY&@dIM$6*s=F?WVedus0cqaI|<|fQVCpvk~Ot zb%6ggXHDSeFyAfx<|F7R32f2(ddusueK3Eg%(UTbCFCI@qNPDA+%lRx`lpGx!0&eM2KFDG7Xqv{hIg2gZZuqXI;~ z)wnQ&VeBRL@#THG&FSV-t9LF`00n0(Kv+g$)m+h0`mj(Em5-?Bw@ckFgz)flQ~B$v zmlfN0mcGJmxt9-zDQHvBM2-&Y_U@x7+}#w#*=a3k02Uh=J&}G-EZE_em)TD1jMBIq+JYh`6fvNo&44pdF>KLr>&zq#b*;D796`lA*fEsKSan(6eR{?N1?+m;#e&ydJa3@+>W zc=_UkHHsg!9GmWUf>Mg>Lo6?L&k^*GL=kVHv%NhC@6$J8b+`RJQ$0tY!CXm6DZt!J zU|U3zQ-=bCEHEH0krdiP%*cpXG6CN8`T9-D7lPl0Wh+8v^`-fhLAQa2kWisoO^UR? zQhf*U>V34fm*!~u1zV4sfDEdTPBO@lgOoJg7Ki6Us(a+h+l)cC$L1^_86>dJ=x>&c z7%rd^5>qcMBm@qR$!ITtXjC2_8ZJ4&{_yay=F#n@zibU)KM?|* z+jrGu>Z6&UtmIv-(@0EDkAS(`eUx5OU+*|5->ago=6a9MyC?XRlX>knMBkNUMV8-U zZT3tu$!D0FB1Fq=N`(!_Ju@&U_glVl7{*)Yx0(F@Jb2^z+~l_GW$B#U?qON5f|S|a zsFKoPI{KhOlh=%_46r^K$c^(3Z@V9ohfvP-XBlUwo?rRJq-SZz8sQ_ejHM1r{ z-iFiJQB7Lj_b81s#TS9yUtN!0JbkKgoPewaKbN4y2HvLzvPocq<#QIwiQ39a8mg{x z8h#FrzA|>q7_kC{fI|+0l5xp#G)Y!AmMu87i&r2P$La6NwCz@qJ4J;}Ue zP()B~yY)8Bas|C#-NYpAcME4@V^`5o>5$~5rS!MA_&tN84$bp5?or;iy+P0h2;h!# zB`U>a$Yd0#ko-+x8@MH0f$_c~UbiU^Hoi$UU$9il9H}FD+r=2h9ttV zfy(1Qrmi{N$R6k#?nux?q>V>0A^CFx^`RjyVnXI1dGUJp`(m)ngoKpYCgpHJhHuZ* z=U4${-`6R(>(i={{@;B%vJ&qz$Q9a7 z`;weEHdgj)-Z~2Q(=PdA(12mdqVUI>>>4Hy!HvzRXt38TE;405pH*=1eNaw&t|O;* z3EJ4$2y~bbHMPua870y^F1~Ahd)|PJo{PZc$+T*tcgnJBOtbw*a9SGO*RexPv8%NX z)e;rmSzRE4m`J@)Xr&Rj=+96Q)s-(V<~7k&yq`gj_&Ilo(ke=(AyF53EM5lGY4>>T_gf zsh}WXCPqLATFK`u_-h!hs+(U-NHhjy6bmn80479;9}NZ`>42hiX~``T9)XN6Z%-UB z?-(@X*kNnXRm`X2znqz3EEWsi97Vdh$%v4S`wxQnch~y&l-tk;GOyx4|5KiMf-0o| z*s?5j9EWE_w>~I_Q`zHCHR|Cjd4X4PE5<2GyK?w)S2i+Pe=I z%dG#x`cfh&Iwl6(mo6VIAmv5s1|0jmbc7s0-!d6B<$-K`GApB)v+Y_aw%hZ#EFIiu z;UHs!SzeZwvFgnt3NTbG%-0UE>98?7WOVFh9}^H8(Oun zC}GrhVgjK+gK6(KXbJ~39W(&N1&Ne!(tvh62kL%0Ftygkq0qUe-GmAc1zQ+6 zw(8oLwaVhEB`Z$8%tu+NH$xghR^(P=vCSvjY=_ryt76W8Nq=(n+4QcZ-TJ#`-e9R@ z{_4A-Q#F$-OrcI5Nt{a58oYR&6BvS^`7-cLGDp++1TES{RoR}m_{ubcDaRx!C7q{9=cXTz2G59!{Z z8*{y?cIW-|D_2_2%j_qJ4PNUEQp3W$5{VBFfN1_`TI}NUm#8!JnG{b?BUCNXGt)*- z;PQG2IH?ep!4zw5D*3WK#KuR@LAqwZ;?mMU zMn-{v&G?^p^|6A3>>tx$wAyd>c%Rb0xG4kVeeVLrg@lEOy!^5a-YE@wTx{<(xgnYC z6Qt8)Cn`eHjYD&^x%Rt)P< zA0_tkUF~_3z3vCdFYNAOQsRtbtF<_Vl_$Dd(1HM zHYn+ZS8O;n@S@d-&lp{2+Hj{QPQ=OwBm`RU#OSpcO_4ZX0zC!A`M>9QET@B$DtEZo zL=@OMrlk&~I;c7|Rz5W(smB+@SMatjFj|tVCk&Iib8@+GhRD%OwXp>I>3x|**293G zd=M&uk4xok7gYv<>xn=V9bHo}*@}GdMHL{D5=b2u;C!Et%^9NuYNYxw0!EeLv?r`< zw*RDs{wuP7D{thx9Q6hhJTr7KM{V*|{_Ep%JaJqJiuZPwdY#4o+Y>=J=J`4!f1aYG zsOI>!=2$I80*!#pZl~j;BxLI<`;uYPeYvmjkCGJ0itlY0(Gyz&6L*K z*WX)j`Uc*H1bWBm>02Gq+BKbhc)fgVJ0kWL1C7-4<(*=Ed)h64@3sh$Z_(`sitg?# z$k5b)kP=x}Its1njgXX>2_-o}uQqL5D-#>X0s<9>0wsbLJu{`Wv@|Fl-7>1Vs2LGN zL=x33R*rF5cY0h|)4)(c1k5VzU1;no5bxl^TKpyT?%mAU-R>uUBWKk)`s_iI6h$uU z?;p$UHd)ZQa#V5oGS&?_sJ<@*cv$UvY{rG=mvx{%ad!LdCeuT+6rpkjN(Wr+E(b{; zpDvvp6ny;mtw#R>`RuiB4789}rp$=X99OV6(7^>L}SXeV`lqeVri}qz1{Ba7|=5XvM5>QQYj|yE;j2*qN0S zyaeY}C1^sday?F0Z8V*PvlIO8a*x_B9#iD~keZi`PmNOh1Is{0Pe#f1zeYHWs1{#9T@hw(~PtxRiwQUi;v{XJLj?jQSz z!uq8gUa(=%hR&vdK8bRSL=iTN--*sTS7n-7??ZE*0)GRo0rfCK`#avu1UxnB0nBsD zbW88hbwAP{T&*~(KYtomqtR@%{O}11N=nb$q*RmLX|OYhSUY)^qp74dWuv^!>~^&_ zitk^jzxx9ox(b!e;dJ&dOXfMIMD&>2VR9g7vSdm7H}@~Ev=d*}gjSo^cw2NaBN13e zq`%)|w=f+THk-%J1KA?PNCc7a_i^_n`xV{7ye}-umjBGcXDOm2j1Z;p_q_b>XUUvI z;Fz;*0*)5RU{LzJv>I(@I#z6A=7yCr;}RE#OBNV3R?EY_D2b9$@VVUlQgW~V_Xj_0 z6RmM%?&k%&^Lf;R{m;h$>y@=;cX(V3|vd;~lK*$KR~fAxBV=3E1MExM_z`=a&11`vJf} zFPhrwN!~ZOH+%7XZNETmt{NJY(h}McRKhWvv+JS=w?MB1@qFGV+X)HtE3-247WL^) z&dxPDR7jB_MPl$V(QxjPXy?_;UYwER}wpjr_i#`2|B3U@01W2y+v_it_*1 zq*?jaOq-0x3eJ-=89i&4F1ib6LcD3GCAl}l$~WJ1VziHA=-akJ78HnQeAfO$hf0xGN*Eh1LcU`<%=TD zU}dA=iMXSioi7*R`0wZ!l3ahG#bo@n`peP!|32^1(6R`^jc0e5>=X+^2SS-v@qcfJ zYfHtTF%<76f1d2HQqlvlN!I3Uynpu4Na5B=k($g6G{3^hMKqZDnJk8Z4nHww2?;lv z(PnwC0*R$}4dVE7>XZTu6u;VrrOjic5QGS2RND>@e{(In?^( z#-F{uo2^5k@9*;)4XW{wu{8DdYr$=+nnexP8OI%z8Kq9v(Vw|e;};2lP+S-}=np`T z6&0k<>xrRAG71;Kl@M>q=HwS{o> zi3>^y`3Sk#xZtQ#roo~x8@Z?l_WfgYeGA}t&t>SPTNp5EL*_{;j3)E$j;og?tf&M`lGw?vUq~Rii;A&swE+jT))Qo;4EVj)3zIVO3)6= z-Fm;NysV*-SO1uJHV)sx8q7;NA9eWo9BZ@je9V3fA@~%}8Fk9rSaB1|Fq>r&s5;v7 z^A?Zx6iJ>j<0xOp9>(W+ury~VU#3b8H~8+u!b5v?n&)@j_r1S=3~Yc#gB}5Nep=+? z7=UR4;ulPSM2rR{VnoEPSsFjtFPxj239-bAB}<$@Nz8-WXyDX7rMCzbJOET84xsp_ zO??!tJ@zqSanhv~*;kFg&BlkYfzaVwECE+ompXw?%*iDdw6bu78*}jog6h#kY->r! z>sTgZM<%lHGa8e{DO;$gRX{F4jF*jC!JG9n8$}r8@Bv_^jt9{rZo2`I#pGQ7#>eUU-9bp}Mr-Mh) zD{+IgT(_Ho8#Y8ypdPn0B8q}!{LylnzH`LgZL1!rQZN)D_P?tfOf*CHE8@aB!sPhn zN(Y#Tn;c{j72UGGjII+3uJw_aIr9`U`Hlo~Ikrl$6fg2f=;O+b#I#CSxs1-|99L)B z;#t@0-kSFtijUL&y^CtoGb$XB4G$!j6N(=T8h%$@UPtNB=LeOZTww|1F?hJe-5ymc zu<0~228oa0TDRi~Ij+)l5lrW2DP!~o1 zK3|nO*__5?{|NoRE2AJBWz25xQn&f-${6p98cG>5-^V6KR(pGFT*o%5Onj5U#@+e9 z-(2RGyK&sq%l7jPWULAV_O8rVWknxV4ieWxV8n8)qzH=UV+U7fm3j)Q{I<@+hOaBiZ%Il^=)Kf0NX zl%!Lm3?5-bsE1pssEOE{zsa(>mSwbp52Q8$3xymmodPpPY@b!VnPA=;-^z!mz+Z^Wc4WtJNIef@mPJ@XC!D>wjWTZ&wE~dVR@Yag+ayuv(Vm1p! zujS`k7Lby^qxMaR^|Idp-3{s##e6awX}kV>qP}T;T?~qVeZc2r*~{r3#$xMz=--KB z0Z@pbcKq5autAYb-6TDIIJ1w53H5vzNE|3UnG>{^!%v~)n9bg>R%BmYW^=J!3|Fh{ zbopEBe#_FXgO7~tcyFXPO0R^7*q$1wKsjf@Vju!5)Mp?J6B5Q&Or9v++2rI?Q=mc#HYh%T7s6*;G_f&`~vt9TI1bktKC< z{61p-i-!s^n8a)NZb?0h6@99>mQI<3RFF6(b5GCtQzS~Xq%8M*no-tSxO>Z>ADgwX zZ9QY$Fi45+I+j?5t6j$Q!lPm-#)3SlyrzYoi(IOf83HV_WLgQl8@Y#OR#8z<(Qv;x zJ$>o3m9q#G0z30fp{762Xd zeXD(vl3L^SY%!nb^LhGevGq5VuD7{~QY;!1WJKSF1=u%M1n^1*(xI%X>v}!Q{$@ZZ z;Vl*TLpdO1VxDOI76Q8S&r(gtEmaye1mxIhZ#feU=14v4%UYf0cPJAi46v_ z0Yn^NLFh!$C|)$mpr5$Wb{Ydq4RQb}1|}4SQti44XENV(mY+N(Aiu95{Su}-nCt&s zw2nl|-}>XbJ_nhbs`GYZb@5%x!%I4);A8UL`We}*SKZ`TN&a6A1J*7tl~IX_(wLZ* zvpLAJ7>$MWC3igGMZt@=IaLZdJPSAAINQD?6RobT`b`CXGY$u7{C{s-Y%)hwnlf6^OE&o$f8uiJ3%{TjrTxy|25t4P4dqDfT|34(cr#k$cq3@n8?fcq1BTq z{I=gi8`dOrlU(`N2!#li;@wG?leCM^nYN@qVQ!k6q2r5T5CH|g^UVuVyx{5z@vcfc zeB1UpCFb8*w7?;ndbdnD^1`)?7&4L8g$IE_?Sr5>GrD_)o(l`V+qt5;^iHTO|&aZc$eB*G$a0@mt=|bATuyA2vLGTw=D1CS2*{VAMzGZOuo9jd}@{l8chI3PEH2Q2NPy;@3=>SA7ZhT8T^T;qNXx3 zG{Um9nuea{wyzh9ukcybO+wJD&*5hTSmO3(XqK{UN%!Q*Oq2p4Gu$`KWg~|P3ED3b zBPAmnFK&2jZx>jkFIypUh(?McLx+_WoS39x(C^4x2kZvDOJieC!a;n*8XEqc<@r9S z?frInIy>JVgd`&)6PVIkpvRp`&PuZWs~u2)r*CiX-P-*uys47^TT@Z?ghvK0Pu9j@ zR!<1UKuUT9$m?~F00QY(Cj|Lt#)!$4#Ln+_5bWQ}e?GB#dsD{JIU~~?nB_2`(Q@5Q z@hU-DCTWd?=zGw76v^*SLGNFv{*!jA7p4DmW-LAV`_gM2_oKvxDx|1WmC4P-3^J{@Zbf!EJfz}kb7G;9scm={MDiIG zM(6Xf8-Wo^!0q!Slmg*?T-5UJHg}sR%X$8;dx}qwI&x)>c%E zYiH>q(1PYPoXXF(kI83$)3vs?_7S%6);0vl^Fbf2^X+`Wb?~!Kk^}JjJ$XQ~+ibm# zzrJftRVhytQzxD7{NdqBrP=NI6%WnvQ9@5ijC8{*ZAQ(AF6tr4hP*6oUCcRH%u+KSTE7M%cbnUal(p(k1}yQEf4jVlEJ<~P-zy!ym}bBx}~ z3gHP#fVrT%ONUh`?Wt)f@i;su@{tEGO(-ri)S^~z4qPWR)VElSe5{Ndf{B?EYAMTB zg^P=Co5n%+BBRWm{*Y@VdX1***(IS&F#+tjoCi&qR+m@E$S7D@Stn}`s}#C-9InO` z)A}kVCY;+jB_kxw8|0VOv}eJVFP}Wq=-qXVHWL*t9~34$nI3CGh4o&GgRNtm)i1bzyBbTfYYOGG13z_`aUrz z5~e0A>yP^`uYX@(U~_Zm=L?>RCp4zrw(o0Ivo!&ymdT5bS{~hL+ zGhBiMssHckBr{;z2%6qQ;015%^99Ggxl_D4%a8>#;}7Q3#PTOP!z)^h3A&X>dulQ; zzuN-IuSSx`aix1Nzcueg?A+vYJVy||IF9`7~Dy7P{$_9-mD2 zX>(8=BnBHkSEf1@!@9QPY-AOspJNP0XYWQuyYng=tg{j%WoWxIx{Ipp%NLQ`#p=EV zNrz#AE{{f=!FBRJvbpmj;7NGWZ`QKkR-7=tqNM_} z&{KkEMEv!&(?T6Z2OnCl%?(>wnc(-1G1Q39gQ$s>#|mP?O_fqUilBnsAUXTSs*Sp~ z&0LlHR3T07td<@Ge`{ZD^pAmr4#JBb*72yZ_xKfy+jFF9**}N%xX+(|OVO^_X0y7g zGe;*iNr@ik&h}s~@dEzCS{)V=VPayow{#JUP#v+H4zKfNED9gxuk>GEVMk{@v7@)Q zbWV7}6qZ#JHWH{#FB}LTH2CO#(8VND(8-b%F<&|>tA`pkhc?%_`d|5S1-spbKZEt} zk0+xeL#oRvo~BLd3M(>t|<3p~oq*L&;Nu z^FaqI5#DhL2@PI8Qh5cA1BKKWy%_*f{A)o&ayS$)m|Ex6!Esao1R|CPFa5#`aL4=D z*jN)wpSa>&>76B?)Tj6#m{*@A1hc>tJuS^z0v1M*=zPYSR)K>#Lf|T^QTKvMx~frf zKq=`kX%QX91q-?iCI$u^6@y-7m0||865dKdFUlPp$N{wH>i7pNY$OT_s#Wcv=moU7 zTrR{G89h-?PYeC)Rr}3Es?mp`f@G4{b46`=eQvtt_e=y`L+nC`$%hMR%j3;ex0IBW zym?+O^Cf7+p>AHiov@`eonXNqIQS&Df zy7`p9ooubOe)sdXX34fvNMV&q6aro)7s8nP4-O7WQF`x=-miuSC z-^&Nih8I29ES+(7(riw2`s{2iK0ba>+)^B(4d{;^we3Y$CL5y*%ou1Zew<_@gX+&t zRM;tnEH?GnRq&~=muBdqqJWDI1-n3hL;^?|c2U)7#KPpwpX2GH+usUih0rKbD z43YXuu$X+IVWuz8C7DuM4li?5)>@Y#+)11@WncqCBT5g zq_U!&Ed&+E0y!jPDtZ`XfVkKWNn)|3iu!biR)^hvu*U&rG(`l8xt4=oV|}T9U6T}q z@emyw!*l_t2P;{%QiYrSZiMmL*E{Ti(cuJ*)S|L??^{|L5Dl->9#UuaJS;~nG_Rj& z_kzy0qs)D4MjU^jJ--?uJM18ekm2eO>S!-jQ7buoa?ihS?`FEHKe}k-1H=C4{{)kWj z7+sBv7F0Y9^ta!JUu(%L0&UrQ7O{jE8) zsfIy!Yjdg26w=Sd&#vOhgg!_LZiKA5|H4PYWrQLqWexwOk0?4%8&#G1FpC2n%H zucTB`uuKX3?1$r!5qN+}v=9y+0l#;l>E>6zpJltCF8ffd(EyeuJ#O!6$UCKrt+96k>nJ2 zuCBwKT)HEN@D+zmV0l8Mr>iVBJJ+*aypwm$6x&KgUi73%_crj99Pq)OHv>y84|EYS z2(a)zlo%}KK``3aj)8)|4ev>2KXro3YimMnc^D7Ss@J*i3W%PIy*@4I zV-$BKoIJoKxR)8`lqnb8{x|goZv)`3Bg4ag($LJzo^(533OY7EqS|+Ql$Y3Utd;3i zF4bF*jOQ7C-z7S2=9^UIX9J0pcH=vbQMw%$RK70#`IqRlnQW&U9|^~Zd!M8&?M$OS z$BNsH7QJsTQfV`Kp3XHXW&Ml)U|2mrsYy>5NH;7{MfjF3IZmMIByC9Z+jG1hC5p-; z0Qs~yI4_SE($hCuTiMJq9E8Z-cp)G`mcB@ddh%4O!EShwH2O8s5x7pNj14jh%KR#3 z7Cvc}!y&8uk(6yJ zS|*;J>_KATu^uaSG22ZPtyR#br0`Zkn8x(Q6p)pZ+tMY0h>gOL$S8gXz*zIlcC}g~ zWi$IzLp@ZG0^ZJIbEno1<_ZKPZ6+uCFgQoGRn~cs|!xQF8eava_(T z@X^`2D-U^80l zpjhpG{?OKSkaquvo>5g(!^X)uY*g=|RS!do0R_g&x=h%h9s|VR;ZHn(I_2JQ?vrpM!L%kx+Z1L9Z4wPU$YwPpZmbwe7|Gt0U zB#Z0)IONtpoVD)$IqR}c)>&Gz(%qC%Sj=8B*_UmVRc!gKb9T7{uH|n5xQt{*XLg5I z(!DSX8|2^i$ooTSdEaJ-Ly$ZnyH0cj!LTAEc#My@^RLotGg>|uF`xJ3-yfvXP)MJn z#+@ZX+RSJ){I^JZ(XRCJJga>`?OeXr*TZ(B^z|{4XL-k@)(}>=&e_# zOTBAovZNSPT2*d5ewiO1LKg`89Jlj;QU)p#hP4<_Pj^Tio679Y z(F8GSc>o$_>3i+>)%AaiS+TR#Y2M>0-V^_R{K0Y^iYb||N?WSbuK2&$`pT%dwr1NV zxVsYw4vjkm_u%gC8Z@}OySqaJ!QDe}cXxMpf5|!Dz3<-f-rw%sRkLc>T5DF#-eb=l z3wu0lrJ79r?yIq{=_`Va|Fy}u!sYlmVA`~+hJNKt&2=V+q2gtRP2SDz1UsdvG3w{& z%CqRY>IDh-bMwo}f=gRR=?d9+JkIsHM#nf_2iHrRT3we_g3Lr|kI-aRxX9P6=$PxY zr{}LlihItT>)AEBnu(4eg1sBJQEh@ZJbVNWrWHZPh}y^5&axXJQ*t`%HF*CM_%eIu?=Bi6bb1@)e!ooh7WVWaUhBhwn%Tl-zhlECD2^o+*Zc$_`*rMB{k zo2Oe;a^tqS4^y7m9upB%DMS@{5j#56Ao2wDaMXnmoT6YFt!8R66QF`S4V#9tc!U6%NwrC zL@Jwyv$}cpH(JxS#n*P43eS42ll7p?)eowz|(HG_}SHS;A(%f?}dnuGiX zC`OTR@6y=oUY~`m>hS|^Pk194Gat8?Q-(w**wVYKT#TTR8yD~7kB`$VXnpj#k6#z` z+!hJvh->wblGG+j#lELRQUVZyD8{dt5Sa2PxZz*?KLPPYff@uXy+pzZYWHp2&(#5?f3qPS)b;hNYN&wc9e>CJ5>s z3-G?#Zz~9{SZP)%c4JCfN7ZS0^#$GlJzIYmA$KC$jdk`y1_cd76C@1nf!enp_=Nb8;lL+1t~Y3e+uKVZ4c*Y#7=^b12|Pkis5lI=%f!J%P7a^r@o^H( zk=!&5ajW8{tBve?sZz;TCt&w!=XaFgou?ZKt|hltOuG3#t-#y!g^Wkk2q{S~&-S`n zhu1f+DE_)S$294$Aw5ZwGqWPtu)c=k zIh}+v>AVHv1aCNPQ52`y%wZUY7P2u7QA}|Zn+hhptK0$4f&m~?Tg5l?h|bn$XO{F} z%lYY0KE08e2)GLIpF9zd;)A~jTbj~~GBm3gqYrp|NN7hB;JhqY`v*~leO79;D&_TA zzgn*HMdwaKIg{J_{t%yFvm?V86Krz4;eE@d?i1}BKqB&bJ&f}a_%#xl5<|qN%m7j* zPEATWyWiP7=025lTqU=5iHL1luC1*Vk0Gp8r!AG%q{oOyA{@&AS~Tk_$a2VdpL?7#Ue2?) zgMvgsrb<{CMVXtLbfI`1o@pcc%*@QE+1>emu2}9*%yMt*MZ*}>U#KQWM#^0-)~TtR zLfs)BU&_Fv_>408S;NEW>FBnuT#t^9p4^_!mK(Sz6WpI3cX2MP6lNB(tEE$wHM;cA z4278%KWLSUESE`fniK?#Zj^W6MH@2gEORD?wDN5dHD4aZD_`b|Czrii;bnpnOv}0< z*ra($vZCD4=L;XdCQJ@ySg6g7BB-M`RlH~6wYCL5RjP)%H@Wqo2l3_KvlSJKm3WUB z&5ojm+RrmBZ3x|(OlN2(b7c7Fq6m{t=6cRU+1$tq!AW6ZfEUt zW!cwe@}OXBeyA+8|FE3;!F|)i8mktmO)uAxknT*GG^;)@W(x zw6;v$pkNTI(qmGN9GgL})8!yTobdAaWNc!((fX9*-pv%Q_FKMg>3X+5SWR=%%)+tEwu8C}ki?qL3smwAqfCg&Fn* zN3;PuS~Y6EUZQNB2)~<<-!t#$E`iLppl@mRnnKHUFRKN!@t_teYFv%X4lr`H(aGcb z*T@V94b4g~SrEN(uhk^h^5=xpE6^rIrxfW1sVrYTsr!!ffeKq47plksmT08S2q=y^ z_=LAgOtn1BSMp_p)^O*U$xTUP`ExewR)?`mer4$y zl`~tJ>Au7q(aF8pN{?{$!a1Em9b^TqIWT$s3d22{WHQyrD)@Zj}RzKC%6wRKT)30$(Gwz zjQuXqGVfLkF_PL6c&O_E&1!c`Zf?dQi@U#AeUAEW>cH`{xGsPh{fua*TL_+Oo?Ing zq_D+8t{(3CCsN^bVVQZ4cqghsUBYYn9YrPwwdEJJNlWmY?~acJuQ(6S^AW3 zm}kJo{RTnvGdP1;Zi$`4vm`qI7>(ALR(|tiFq4COi z7}V52tmc*)^-(pQME59ZARRS5mlOxs&gmp9Y1`- zr@oKFVNuH~DNm6(b(WfrbAm5!S$SrabD>an-f`(jS=kL5i$Lqn&12cme~Gq4k!m>r zt#fRhE*ecaQ;ey46e}!a%fx^u`4(JAM{7GMMSX`Keb)?iX16p*p$<8aPl%;SvT7Lp zvFgAb{uTB-lD!lP8lxp#3x6f7=VF{~gJir^J`;VQAxPK-7Njtqv>@nMSIlV^voyRj zDbTB9r|Jh50I}#Yi$57Vlr`QxVHO_P2;xJTBFS=L#7V*cTN>C(FdOB>R z($b#FZaT~AGt6@t$6Ohl>=PF9Z1{fdLs0%c=StQ7V53v&$@mhUMnn%38o?sr_!AVu z0QQ1Gm4#hlnC_DCfvBvh!=Gi(bMHoDN^U=#y}RqjMl4XF&|o#!NPMELi##wMYge4i z*weyVsd8AAfako~x8JTLkrlIW7+#A{Nn0CW-)VqSKRu$8xq?#>*n`kS*us3K@)mBmdvysou{7>w8)L#|LpGvIJR)WhlaE8LhywaZyxZPF$llqCwI1Q-CMr)-|9Ox2yuY1-nuUrAjy8IMWf_SyS0C0j&P z#M@U^(#=_42{?cNZ~pT=D9hI!3K(<--%pL8$|&b&`H6xW0Jww1cmK>vb@^3^biwTV za)XHOWQp(azhC)V9vdYo7Re9Ky-0BGWTOFmzqoK{>Wr=KzQtJbaOV#!4Wa^Q|1Kln zRynq}f@ogRX%>o+Km%wfw@P+2e$-b&s=YO^7#XM5V$YlKghclxf-d| zhA&oSBBG?sS1L)P#2kN=0ygiqN2)5a;#e&-4J?1>n{0F_6+nJErqGZ71dvZDO?xWf zR8&@$UMv9f6J%4O1%U7|Fk*dj+}xK#i<-0poUXurq5We&M%m^p$n>4+Q5@_SUSWmB z3L`nGuL_(xxU?bwaQsKYnhFLmOAX_Q{!=k`_-~#7@=R2Vg#dkM=T@ozG6Ypt z%RLai;e7F`s>NW|lA-*)O@xM`wCwhNT}Q&^P0R_|Sh-HSfue;%KB@4v;f&|Nhv?SI zyyHhJuzl^cn_(mCI_G*XRNgcSzEtr@Z=g|SKU+gZ3Da{8Oq^OEtd1&9l4RoR?M&l` zfABaC+BoC_)TO)KjkD%+MC9S+WWNIH^RKoC!gZf(R-R@-?Sw-$^~SOSG_%5WAA-5} zyH%Vahwn2zXD8*(Qn~CBDx%m6v>TFIkMpTOSd}onby-QXfrglEBQ}~P)Ne{^oxJbr z343kW&L~t7l^4s;E}kV<>^~*EFvXG!v$?Wn?P+zDgvy4j78@ak)5|xl1nfjdvVQ>~ zX-fym`ua-S=51s!6E@7XCt?qqZFn_BTRYchUKNTnQc{}mU+(D1<-1(t((X*F2%Buy zB_%pGe%fQ8I&hzP$H~v$jGddwi1cmX^Q4c(iGL0gSNLM zvgqFH_{gKfYWl&_M0F;7uRk(+v=H)ILm6(nk252wi(zl%-loyiR>fNn!kn;EO>Z>Z z1o6g=M87T~ewb;;v>BRBBj3){$4rBkBMG|9dtb>YCuV`Hre|13Xx>dG-s?> zK?jFBPK;JkIX_P!m_bbA_l^7KxsyPZ@O&797z(o9jrJ=&V{<#iq-6@-@8Zazn-TrF(Soy8`UFU~cQ4G@OiM{#^ znMI3laWY(z3WBiHcDK_EU*UFt`){4USZ=aTGBr&$S^S zwW|FSCH%mfrNACQoLWEF>-|%-i`_SHK6_y#c&lB~cjuWbc!u>*^Iodv_k(BBnDE^| zoQ2qA+sMPY#el>TG(H}m*U6$64H5#Am-^3K?)o_JMF`5tYy2*!mwV7lhIib;JaIPPfNPpNU$@Fl`Nkw|*J(6WO{% z0$QF9_qDF>i*a0x-d+=pg_tugC&~f>%3<8OldPKWg6QCw!i!loGWoilqxaX>Uxcr3 z;K9M)%1mR>Si{2Gvz+;#+#Nz>!xk#FlO*;6d7n3;K2~+y6j1Zn)a*{GJN$+5ucPQ_ zRF<-YU!vE?#%UqDcMXj6bImSd*`sjwe>9G$a>z1G@_h*3Ry1?=V-%yr?hB(6}uX4dvGMfx)JP; zp649BW<7Z`#q0}s2d+~T)F3o3ub^Swfh0M~2`>Nw@;70o^q7+JQC~rY~rNNjM8R#wR?CS#_ zbK%Zu(*fNpNnT(7mS55>_DGHm9-pz<>%Q>K_8_0UgVWwi$NAbw!c51`JI7u`%u9&l zZItmU=XE-kVSxmV<@Xys8I9Q6m21ouxpf9bVw?#M67R`Z6ZCwI^!$D8#pc}*9qjC6 zbeTn`(bE^FM9ZAMrU~aqR8)>t>$ZSvqG2(`}Z^Rl{l!Q)v6{QRg?h6l4)UeR`!%I zDien!O%}+e!w+XIK5RNKhrOyD=z9pZ)X7y$($S$AZjQ_(_Vf8FmrxpA3S(C$c^W?k z*|WtTx~MCT@?8MhgDw_mmsbj0egl1~kuu$1 zFaL^qdSThB&qrsE^;_05GudfxTbJ~!UHNGga>{6EF)v@O``+lo$ihW-(xyK!D5i?6 z!}_v2{%Op0JJQO-Ta(4fb8BCRWxF1Itpl1)i>aL$yu0~b)5(S;ZNXYyZlWOMy;Wg3 zFM@(n;kZ*Nh>$m!ZN@_eRhu|z$hcK2L)oT-8Q~+FC~D2Y`7RrsAtVy9a=#u?d)SuS zZRq2Pn(*`6(*Pv82%!fyg`!Gcf6$`&bhzfqshX6|OE+{z#B$V!gNZ+KvX}eO@2+^q zUCRUv>@`g`gJ<0d1;=@M7&P6rdb_duD*yYc!)^v2hc{E_9^_7)$x+dCdxMdO6H{6^ z?n^Hhm$0PAqEfSWnFkM_q1SoBGG>!dOjTljv*A>WeaM3jiexO{ZGeURn&=@nUBOhTvSUNbJH++MlYJnz7Tn-5V{ zHha)h;KF`2ahFoov%%ddnpVCX%m#4u_g#Na4m|seg^t=g3+HA{jmv~*r4lA33PZz6 z%Ssj-Me=^XfDLffmfblVJiujGgYb`!N84^5rdQ+*GzmaGEHQC+c>n&7N=T_-+c zqVrrm=qX_103@q@Q|6q?!*fkuli?kO=e81m*)&B}dyy9{tpX_mx{vl$k*s(2@RB%A`Squexeq@SOU&C8CYK?w)!cLwPtkK#q!&B=ak;qcii=pT$8dq&L-;gep5MvsF>Z5qsl ztrVqNC07^7s%m9ACse!LxkMZ}AD}k?(P%9k66&9gvQ$oEuveGIGRMXA=6vx2oDj+~V+RKHER3_M+^_Z==$yBpBpYiUu-wZW!-N@b#EG0pn zQyN&va$4`WBK;6?cN{t8TEfAw(AnryVpc(s=JMk%Gsy78a9bmGa{L|`^EtZL^=`T@ zV|J^!#ZD7#6lAe8uosIo6qko}VTu413JlbNxkTrKb?`8uxfZMKqKFh@MUA@V#oa=D zmka)%m;Mkm2Y^Vus_ zy@;y@1ahVe*UUzKQz9@o8pLhZY)qUK=HO93+dh3%#4m&3b$4Qfer!Bq={|;OY1D%8 zS%(8TRYUC)rhn$t#!^qTUI`qhPtvVU6C|3fNv4!KVBc9Z45Hwq(J7~k8M$*@3yMG% zIdJbJ)aqsHHhI2vmm|X$N)w6)f62Qpd;Nl``z;A$+wu)BI);#|a;)@%61n+fzq&~r z>11g(!Js@0BG=fj%pgZX(vsQjeo04M@u|B8aZafvu|=)vwEd^`l-1}j0ky)z<@1gO zHhcR|5q+hzevP%t8y_m+;dk&w#^^Zfp9j1bAFnFl4;bD$@26X23n(984131qeZ4N5 zGbyIxnv^d3*rO|pBUta=h4Vz#OQi;G%*L54s4`^R;yhXMOyGY5J07TbTd|50YFA%B zy;>i+APCRtgunXZ`Q-JUY8Rcurq4!#x(l8mkLXkqkA??E=TFq>;=P8fkXRCw)0}KR zcxBs$?2SECmkX=ct4-tQCc)jKZ8|K^D|c|c+>1`zX>Ix1T)-1T>{2$1?d^jxA4~#e zVy$F4c@9)9akm$GH^4IV@>R>_;f1WV%UhN9yVBz)nQ#Kc(!k;Z*9_i+k7SlwQMQ@J zQ-Y0Cd-JE}&T+}({JgHh?tN;%KbL4>g716sSEW(D8-5(*oHbQ>vzG^>Cdm(D&Y>Ef z;F$)+Xgk)=G=OtATE9Dt?r-$ltls+A|0q|1@&y{^NR-VPdkb$+Yj?c&Haa5mx%bFv zT+%{c;jhwl#^D?AIK2HBPCv)mlxa(T&y^=M#3`&p!`T(@N`9}FN6p8@RZiw{R}6ON zQ1+0qZ{&ui9;1)n?);JQKq@)3pNlXNnhf5P(ZJbgFjh1&!QC|%YNFNw2AJZMoFU{X z>x{Uan!)yZd1!InB8iCZ>o@1{d%oDG`wirEdo})^TF4eu%lcM|a)sbfa`g7o(utyK zmRAtvFiK})NRUU7VdHAYM|+fF@yEp7AWr<0X6 z{h_-wS5l_5y~hyq)_g~e^`a3oOm9;gcDfWa@>E|9F%}3M1}<);SJa~HP&7;}V=?1) zGWi`|P;=DojR}vDRZ=3aeDW4n)IezH*t5PJSe!x|@YWdzxkSa%O;X z((qd1A|iL^%-I!k338^zt1ia_KyG)s>)_on=3&R+H_sX)>M-KPx@7hJ>-kwd{db{9 zR{PS$0)lbV}^1cvwWiILi zqyxKcadGojV8n!&B+*(&$_}p1k;f;Wvp)XDskVAVbVd^sE)$!p3cO2e%x=JNx#2au z%XC0>Et-w1zs*)>2hDj@7nN={-(%>4LF>A%(Au(`d)roky?r>e7d9G} zgr7+l%iC+je&S7|Iw6mdueDA=R>9I#UWuM(Wdg**KG_c58y6*nHyf#4u699K7DqiN zDfF=QE>h`VNIJvX+%TqQtgx#FwTl?4&UEYUL^oIToD{2gn#>6t`bFRONU;f_U98lN zgg_ayVpdv%y*y|G_q%c*do$$iG0$T94DV|%D1zzca!#p?i?-YMM>$NzNH&;*SOQiw1}>2-P1?{AE5%>nsE8I%+QxI0S;=MA6TRA{quA;#~t=-n+wj6=tF6{{3Efy->|c zQ0#~AcxTQ^hm}>f|NdtV^Y>cZ&#dA2P8YXJ*x;sg zdMqa-{%(z#%a4dLH(2o!si?M5RyE(Jq7Ioz^Uoe6rkb-qk(mq}D7kz&c2eFNTaMCd zH8$p0(b`LBU*vLGmVIkF zdo;i0cXXj!S?}mMIA@~>td)=e$tn!?uA&`O<_tVNr(E!_R~bKw1mPvujaC~ew z{Ne}iP4Bu{<^y@pr5#C!K;;#HeGjB(|P{ zK3^s}sMH|$PNp|U7Tm;m#Hml*a?d|mRGpJ-0_F zNb8C`3T$!7YH4r9Z8X!)Da9SIl)Leo^|pUP#=j&$t^vN!ZP(M_ht+p| z*g@XXWRWVhoo+R>o0ofYJu}2^DGHk;9e?GKFkmIMGv_p3TCKOIzjbErZEL~ue|rC6#A2d z1R7Mm1d~}U_{NTgU-`2X6~>6=4W^I}S#?EKZbq8BHd>)cR;e?_*UtqB)RMwFCIAr0 z-Pw14005xUtsg&}ox}N>xp8%)?!nvYyu&$^NHvnpKTO4;B@#aUh)DA3>@r_biB!c- zgj;?jk@v%FLWPWTbOV#x%fV*knUb)>l+Aq(owVYO-g~^F%0NjmS19uZ4H^IdVW1XJ z@GW0E{cgbRg5#8BCU-sRs}l3JAK^)zKOdN}LZe=-znA}y7v(S( zrD$6|S4uSz&n-6dyDms8e(7qayk^zv=Qi36X|BmF3}0TjMex)@06-a{!hg!{z`t5D zY+@L%*qwoz#cA7VJL>WSJx;T~>8vYLxE*GQs|ty^q9Vm9Xhi8|JiO6c|WjmFv97vf_Of)VsRI z2G~(}FI!^Cd%k|TTDri*fOK8^SBQv-LiLP?TPtENO?*_F+56=CHKKtqB_~5=gd8jr z@*lIsubh|bt&rE2h~|Yh zSqjh(0REr{eEG+Xd&t|lqSUCOUl81^8E`Hvw+_&lxA9HZea-{`_@%2!R?iCWN3U&S z5Ti>3e!f_S7ugsTJC_}ZSL*rBb(ENBtgs-kF&04-r@Bb7oZCsab{U_55K!k}f0D0` zXB;g*T7|X3md~S=hp81%0l{uhC;y_x_WIK|>mO}HAWAoBo_A%|yG*}Nm8A-g$#H07 zyph0T`%6`msEU;wyvmM~&DTvfh<|P|9OKN-D(#tt@0NvbXQ+l|Vj`>_que_Yh3P6F zRE4TnAfyKdh!!g0b|Zo^=DkiWPkFQX9&wxi$z?FtC8Lj`J-_x3uJ-7~3*L&v?_jb^ z3(0t_c2>Z)1-NQHKfFI{jF7vg%j;zvCwRL#T!bXNTTrOLG?D`Fwoh#HM^n|$eLZ&m0J4VoO~ArRZMyLtj{Q+0(=!yjF+Yt zo~`@FrPKDq#nG|N;{nQk)Hppg=>0tpE@*m7)aal2d|*!<*k93*=^jUnZ5yqTU)Jg8 zE>OHOor;mhuhp+cq708wKQ^T8S!lpMNnYJ^Z@7=!<-BI`XELn4uI~ABDs!50o_y-C zg7NF!P$4FEEmrzd{AUR|Wz_2xIjuHB z5k^Ek z0J+n-w8(}+nZXST5=~*CM2AkGkP&8bOXz1(n38#a zwlee9HOOFxDb$ol)$bV`&Z2Ss(H3z3AOUR7{i%*U2gvCB zqay|zvX2F-)~ijy9tVf)@LrQ%Y8T{-qZ!k)#g@C?Fvkk5CKQ2@8e6r#qU)OA!mKK9V_>~rj zjat&obN&kqe4Xf{yT#E=X+{x`!^V)^j^Y2;LYE9iR4Xif-nUI%Ppp9NUexWh1XotM z-@MjA^(RI~&hcdbVcpzEtE~Ds$R^IM!(DAOvd=JJ{r>&5U*-dQARsI6-|QSiP-F8{s_A=&{0|Gh|YphBLa=IIvuu)eR7%^$77Wb3g#Z;zTR zRlq1Kzp_=ge0%Y-bIHW*BZJ$N|GBDP^WUNYxCY^PNF<@vsMDulVPX#zDl%U#v8;_h zrN`#y|K8sAMCjQqc9cl-tMMC5-rzWcKg2$h9r2);&C0!M*NJ@{5vS)tI>(wf_^sa+ zwo>BLpZc{~<%?EkLN?K&hh2LvkK5hvo=lg<=!ghIPqwo~EgG!T^G3HHp;wdbJU6F$ zDHsM(uWsR)Bgo;X*bLcwhkXC3piCTTMFK%0zUH1SMjdVK`|~yNKon@MrHMiC9h5xz zz)gyR{n5~eH<}9{QoE|eBBXNH??lZ1M8GA3VuviEMuZvAg6lY?Rk?zf11vOz=dsz7e zd5(6R-HuU~SamUZa?5H?*~eQXhWSv)%=#FJ%B-dEB=I2Oc5%4zxGnxe-OAOJ{*wf@ zKK*#_$1TbY@0YprwE@)KW>MCJSh1*l{^vubffU4lF9Tu!$(5eoM-t6K$M5{VpH#8r zM@B}zy};A!V(|LVkBwttW8_i|UtJqMJ=x>4-<~hCRr~lf#!QscQvha_GCM+kYsLQk zq1Iq{IN?v-H_rj2tvWM4dU_kybndKiL&ily!e&Ww8V2l=A_+ysY(l>iOV%) zqE9K{950@MWW^gdhN@eV#7^;#j2sPuSHh+X*Quv6oFn3g>_Q#>NIuJ^#RzCCw`7L&cbnEi2bR+A9^ z_sgTjE6hYf!0}h7su2IblmU?H=yJ8~vEjW^F9`mS`(n_)1$l-JbKQsOZ4sF8pIFc2uq0)7i`~KPnG&u3 zer6TmQV={yS`5h5(CBF2-RdH)RsZYt4V8!pV<0Y*fQK8u!}~L@lO#Eol?X_=sL0i= zrKH@-F^I(E`8I!dH!#Or$l@{@9RQ+tYW@#eoJW^M#-+WHF|7unx?1DXQdR;=FS^@g zx|%c=i?!A~YxeN6EQZ|@yz7Q35>)4Hh)!!<_m{@6VTz?d>Mgq#I`ew&m8K1WsX#q% zH>bHj)KTd3dEQ@s2moj*?vK&%`gFQwu$P4(CmkIXPC&pT7}M%X6LF%jiROfJ_QPfk z?2&*&O+McVdw+cXxRxSgko&Bu8(p)+gp+)|V(Z`B94e9U(*zM95Hx#6Xvrw?(cyZ1 z$nSwE2`Q^N1M_>!E(F27NyGX#4G{m2OL-5Tjk<&G;UrjC*s`CrEwU?9noXuQE5T2X zhj#?Q2EArmYi)857b&|3FAcUt?8Y#tWs0S!n3jv;Lc%(`u zbCHw8UtW8f+hwq!_160>^<<%dHrbm%ej=)>3(M3P#jiy|!ao$I>d{rY3LEZ zk)l*64<&5mZlF(;yu5&_JgqMz8n@HE6ID52bG{)^xKwFrgqi~^C@?jRhPKvsVCt-Mi!GMoOdFUT%(PBnIa*k)$V z$8_y2#6J{M9%d(`kKOiM5a*DNyB_}A#no0;p|qQt3l>RBL-R)SiSYX(3s+fJd&Z%c?dM7AlH&kbvq31yPL&)*MRP1Uu{U(i zegK~?XNTDr;*s>-M(vOWoIf3n&d$h7@+^7H6fHDvh1|m&Ej$XI5-?UD%mqVD< zQGf12YVLBQVuhUCB#XO2?GHiaN|KQD9~og*LOSgv-g7a>HojRa9iEG0NK8n)Z@f_L^gqiV>H-$p>7JBzzl4Wq|ZP|&!U z&tKvbeaicJTln6HM9_fv6t>IxV0c8DjZJhJ8eo?ASPq#jTdIsKT%v?!b(Lqi{+udq zSFa_R3@a>6+EgfllRaatNU3T>?Sq^IWeUTAJg7Rcg;#TY&g;tkCIfi|4#50C(+(1f~A;*K^2M~)A9RmwACI`s8Em=zYk zrFh;rgxsIWOLMtyhTfXFgl-R0L!XltS86RP_)>|8PS_AGU(Os9D9m3(w7M=|jQl?W z97*Qs%t>+;zw4cWU*gctAPSkXEK|`xEP?vMV6NLCR? zfz;uNoQ?03Uk;~DsI$b>sK{^`F3`6Exnz0mChm}}FiNwf!h3rgU>M|ZYn&E*a-6({ zef3!}6qa#LIC5>mk#0DoZHMQB^sO26<`ODq_}9vC7!O|D#(1uCK7sNoy!0ptX1934&i^W)&n!K|?0a~DBrIKr)Gqs$Y z4_gBy!0d0f6J&DbrO(LOxMHS;10h8;!tCA(6)}21DIB;3D6l3lk|m{ULq9sZ07E{p z#J>wtK@}nh8;knOl>;$XzF-E{1$J9e|4W;x+*ti(GxRs1}R($)xa-_7XiO{zDnbqa@fDzPT(j(H^CW4I8NVydmu+2K=nhB zXnAkcGvw;kbJJa<$?JJ~kc0n;0%NoJXJO#@o}>tg5PqrN+4f$LI;TF0QjjqauP+VAwfg~*Kz4?EW8zy^^(aNI~tYlFY0Qfu))>6bs_&ybQe zj?5DA<0KsxU;ShzUDs-jO#WyK-q5N#s<-Ms&ITe@m3=0A}1p{a&9Z%hoCe(%M@$?@`;_ub`(ME<&ZNWsEp zf%qhKXL=N=U}|#Y#pnQG;Nh|0ECconw^Z&gulXOX0FCqvNa0qT$m@7e@$V{WfLK^i zqeq)+(N5YgCt*>l`h^m8BH9(}D^i8T{NKPJBRJ`TGf%I=)^2|a20NnrV)j<|1e@xurZB**8%>T5vT2efbLWL{B zW~gob!Q|Kx8(S7V>(-g|)vdw8CTE0MEjliij6_vHr(1G?E>q zjG>6AhNVo$I4PfsVyQNjv70-I*fLVhW%po00y(8z{L8{7j%uai6+WAx1$!+RU;vDF z_UONY^OJWF%8Q*iXlrdv&z=GjzO59F$(hGU%k8MXQ%>Mo2#f$$)g!0!p+6M~Q74Cv zjEunUi6)P_{ZcDS z1l2Gr8T|~1l+yswkLXtv_sYm_V+@F)Qoj$V9DgW>!u6qGSHcI3Qvrb_tVDTv8nnqv zwDpH_d|b`m9cB`+G(6hZm&B+fd|v4d-|-*TT%5SJ`uT9SS#Ek^McugUfkq$iP{JYk zcz&kK(VX;p9h%9BB0!!@*_2Xc#jm^$+ahflxPUU4Tltcce|r^W$nD@tW#C+sQv+J= ztSd&yzGST8henDCq|SZ%)mITEf^CLya}{NK`@E8nrm8Ai2?+@so63+7=#-J7Df8#s z)!f{{p@cf;Q}e~firpinByCv;EIRFP@W^IPhx(`Pf=+G3aOv!0eD?l40@4TNM|0V? zi#5}jH1*3!qNsQkf!(1x)xN|i%If3*5XGc$R^`79n!G6M^7ybZvlK^!TeBOGWdYvs z++GkRp-Oc&*P`0JGhIw-Z*LC@0s_q)si(TVo#zj8KDfa}2<9_AP0{09Z&rA#ObHCE zrBQ=nN>xnbx6eO_;je9TSy+hU`2yiiPQkpkM&8oW>-WCK*l*wa@{lr`UQ`1!8ziJb z8z+>90Yg$Eok!0Fm}UKgsXQb-wJ<0M@`128ypIHe=?Qkvp+&mv4Oy^;{&O1?Bpc}L zZ!+7xL3dd#n#`FqA1d5pDDdKlhN2}Jt@@)*Wi<}0gvhMnWoa>D=G;l{n2;5P?SE)7 z3Wu}M7V2^(2=uK~xwWnB#EDS&%Qy9o=l+j3J@b*|%v2l&&2-J=Z;21x&^9b)t+MHX z`l)G>j$vW;-&b)KelD_6XDMnl8j2oG@F$eX>UgnhMep=Uo8IVo$5CNee_dMyhX6!_ zyMxg0mu3Wn{+p9G;LfGy?b-;hcrj4kT<mvNK7iW#Z05<3FYog>F#)GA|wXPX}kGwgfVLB>fa!aoe1+jJVOw!x*YcU z&eystv}gi_jv}x{n3aowe|nv3aOhRj2~(FNp{Y;Bp)sFnG#aI^cEbc$YSe*vbVLK- z#WIc-E6G$)s8QPef=e&}c_jg4r%FOgL$9P1_!fdnc`8~uI`U=61?yaadNmCVTm_LD zb!l}FAz}8x2d~x*q{9Dw+yQ^=h@5u%Y(%xAoz-^j_eW55h0U@GI+eq??S^xu+=czx z>uFeY%ErpunE%}XVd7@!1oz6v<3^vv#rbtc*GpTrE{4Yf8dXI6`=a-k&Xr!UZi=n{ zr5zwud;tL15G%7vitVdXGr#Zyvkk?Lx|nn%4d{x~_JtAFv1jBllume{66ll4FbVTD z?gc>{NFhq{@&cZBmzQ6D#ApjIuD0}1Q!^wafN$?8(5rcV@Rg-~P_+2)A9OsZ*VL@) zc5w2#Gm?;w4b#d=q33WCe|1;(zR1>{L)GWWhUvFA%D`{s zd1a@BsR{f8?j?*PK0OQX$Ag6bhpul7tgKnq&Sb)gF|j?dZQHhO+qSJ8+vda*+nU(6zvMml z-g7?v+ke*D-CbQ(&+}AuFUvHxx93=%d%>%y%+Rq*I*X4VPiFTj3dt->3@m;sN#yJx zN1b;J-5j{L@7p>nFZF2NdX@uyf2ZJWT!aV2#(7)c@c}*GUPp>Sfc`r9x!0xJfgBH; zq3Dn8q`GO4eaN=!IR*su$GO*GWC@dQvWJ>tG3?@@1@!!xoFqk#DK9UN7c2~a-AieJ zM4GAAhB0568yFBujrSkjD_B9=?4oM*!hAdDh#Vd0=rr5d2*fke&L*INLyd^KQSvc& zZj>ugbo&9??&Xow>HvB51IAFf#rnGtknNY>=Y`0p7Uxx`%7K;-#BlxJeK z_&i<$x_$7b8Ts{E)ex1omY)*3xDKWbW?s>!t;_OEnlD5--R3rWM|y8BUa$7Q=M%3j z4&eyF8@-QHEWcJk4c&|XH4OmstoPzo5~LFlu2^Er0~-Yc;;qcpYAMs`GT#m^U3$t( z;EM~CuTL9n&KwDr{lyiPO?)r}AQL8I*anj}#dxdJEL9XYEj&H7oT16nLhCUOsnSTP zwOpR{dU+KP0LA7A$K^@xbOkJ=w5gK|5!@~_1U`x=nPtWf?&w)Ii4u_~j=JTGctoNH zOdSnMcu_DS0Oh8C`cWH>IkRoGvro~w#NGAv{p}^u@kQZs7Y_r20zq6$t4AW_Xehh{ zP3i4Zce7vzi;5>3;HL`Gl$JqOl1|pF$B57O=8GJJ{Cm%l94mA>SBc$8kuJv%lcPK* z5qT&jh}q(|hDHiukOc1D$f&**D@ELXYR<0KYEqK_N3qEtvuK2)-f^OA)@%}rI56&F z`qby*-m$gyV=5>_h}>DXecAz4%JNKFy)SV%Dm+Re8(ZV;uB>yIjed-<4zl_8Vdhx_ zd8=x4B2IRytLht-?r9azhB*4>gGbIhsgG$jT~-pPN;CDF-a#7p0Qne`{h#Zo`t7-% zfg$cQhnif)u>Ux8a9x}c47ZHl{WR6V8r|rr6qMqlUUMvZ9iX}WDN8p4z-4n{cIT+Z@uEumgAaLDm^^=Bh^iFvc*>uFQtBzZB|K|PFH_85ra z@p0nwv)u;fZHla(%PhJ89E7-5Pc{mM*>*jFl;6B&!odVQI+e$fKSI(`z8lcX!G=ui z3=8HF0A&62IwpBs&X(fFrdeI;fSNMC1C_zu%J#dtm!yfQOV4#{l36a{eNrP-R8*)i zL<;1g|eOP}p@r4mxn#T^!t z$(cXi*1QzdqT~y?=|?!u>ow`3jWJ7RgQXp3_2~qzgz`rXXUSp+gNn4vua=hc448W5 z2G)iWCb-(}d+Zf~h4c3}-KouLgWVzqsQ+W=lNIiVw(R3`n z3>`b6n8rg-qSRg%&!f3{2a9&>Eba)nZk+`%8Eh=$!k(7lcRqJZ-d1g+`mav?Yd$?6>@y=8xHzbsC0?(6>Bwy92LOFT!J9+1@QGwu5&CbN$ZNJX1gPcO%Rb z#B8wB&Q$+830m!hOt>~_SE~{8cUd^K6?f|9WdILK}z6G7;7%tc^4+1OoxhkzhE5l&qtp6w6lP_UoI0@*hRg9a7D40`JA*8$pX#h9o3Z zBe(cx;tID3uwuai{2&Gt)YZk;<|QN~S~RI!Ym_v+kq0_DJbB(#IXzv6&)1htCh#V6 z>e;ZUGo(tSA}2`;@B&p!6@R55O%(q24nzu#Cw zI=SpsCyTNZ2`B0*G>+(%&hxk{QP6=2>x0A-R2iGWHXM!g{8WQ3=>oUVPyIB>kP+-` z%ZEXC(<$8UvrNRjGnBt2YtXArs_Ra=Q@QPrhtPYM-@~Xa?9g@+b|T2}m3CY!DfdM; zm45~=TQXsW`LV$v$!=2;-{l$mB0hI5BVxZKJ>k(pRCcvp*Ug=wm};$VZEfmA(SqYA z^Zt6Sn3IDOR5Y|d2T+FeX`)2eS69RomH<{bDpyRownRyvKjf|3F zU`i5#y_|{)|1e->}A8+h3&LY~m%~^LSd0Z!Y`E_+ED&w6d+WZXhN}!fwY?HRL zbi*UEw$sy0G+2!C&RM(71Z?gxqH*_myG&!v@?|N!t_f<}tl0mE41|$=I`jvzwYSrX zf)Ox^5@xRy4v;za%%r{-@+4y-Kh=sfuXiei1k^WU!hro9e@1A+L@8cfpp?;H)YSM7 zcZ5SA4BEzq2gWatkHUdLDapz1Zf*$dVTy`@KZxWJa_q4?mQ?v9q1oa^^Jx6N-_vS~ z7?nK&ndv-42!Q5*rv$_@^S;Q5AQJrj+S|(mAt52;_ry$fiNu%^203>bnaR-<8Wx+4 zwO1w}Hd#g8}wMSY7|Dih2N|8IqoSK8bOvB62IV|qM@!VpONOR7Q70hoh@2s9< z6FLfmm8L_w*QuceiWMLD=>Jg}mo#SlgWyq7`6&do7GzL7!^A>x;rq8YiHaPgmtf_hK!84^NJe1(Ek6_F5~JJ@b}cw2IvT8Xp%1w|xj!m^DH!IS^-38hi`qpATQ< z)HtnBKF{?~c^(- zxy*$x-3Ezp_2pr@3PSt_>PRCvkZd_G95KZKG}l}|5%Ea7-cDOdNvg?YcG!pz|F%zU zAX!y;L_|irh@IV4=L;M`FTa%7?tL?DfxXp&n?xqu7+B&17J7k@ zj!pNIltumxoZKc+1R=SVBdOJ{(If=sRJ@MSIh$hB;L>#tuloUCwb8|~wou({s$%Bz zc>)5x{e9fEbYSUW8&iBM3|FD3gxqB>wOzRy~Eev z#_I%6jO{Zk6X}cFJ5oH?DOD?P^Fx?n_YjAi3|;NmB&GA7R0Qf~0zg3KKkZiqI%7Z@ zOWVpE2M;-ej_WUI)R>_Qr;jL6pz`&gNfb(D$&`*i8p@#LECgXg2vH)ahI$~FgsJ~9 z8CI6oa+8$h`?~}2o&j?yQml!&mxPHuPpn>4tk&GS&p2U1Ufz3tW{m z>UYNk29nJ%&4O=P=+>9Ak(-pMCzv^{zC&{^nDxTfxs>Xo4dfGHB6K0oYU^X_LKf^K zLN}^YEgUHPDLGC6YVL?B2@R_4kQ<_mcGK9~Ph_>h`JR%qFrR9vnVfK+i}tI#^Ce^b z@pq2L3y0e3KW&{yfzy-3`Pclp`|V>(fM;^Rg`{HE9lAw{y2P|>y74Ql(sby@A%>Aj zs_mfR)XyKF9V(C|5}#~?0IRjH?RCH}=%Ugq)RhsN_2S@&N|%on2*?S_{wmOTRe&C- zaqeeHDM=kbvV;XNy;l&Sk73EgeWy0g{^O345qdx|^@j?897~{KCj+9$!vG&>4(8MS zQKK2f(zcMiySaP(zC`N|`db74ejl<{PyZEAeS>Kb^ZRvrxI0pFoB^_;BtHH`@~Zm? zV@Wsx+RR|2bT}5vOpz|ZcIy+)+;uU#5I#U}VEC^I{Fg$Pzr`T&0m;uLGxp3TUO@@MoHZki zKT3dYo+@DCpeCH9?83|S-MwV?+t)=Lyg#R@vTJ0K$qG{j>}S#|J6jPrGN}|dE7)|& zV|kN{VPzD)ALIXfOt4iMCB_)$s!JA5$0^=;wLcR2$Zj*Jgn}^a=`|$ulFO*8Gl7mt zKOcYy5OaSXD@`btCPTJATZ8JuJ^L0sy>Yr+ZW6kbU95LHZ^t}z zNe-`H1^G)DRSt`3!L4PQ3K!@Y=!s?bk&jV(~&|Ufkn%wS5f2! zvQ__DF|Y(h@+8D$)47bCI3!auvLeM_a?nN$X#I=!wYQs_MG{6c$A(3S8ywEUX-myv zIMCFWA7LWAk5SPU_jAsEp?ZygEM*-;@Y`0K3O4-jyw%V5zTMuN402tvoOzqm2Tr6_DIxwjB*C^NT&qp>J=uwaZ{L(bDJQ8ARyV0 zFWiGBDIdfy=DSl?v{6WR9@HW}O(49(ic;<~L#Oq)+GOQm=k(;dFM^yPeiiSK1DkGF z6!WnU8SHw|CMHcH7Xl>~ z?VFZ3aK7&+20c=F*L>+qq?q(xeiO3jDw;=w*-&@V4Gh7ohi$?K0=k3kl%DN8mT~FT zFG}C{iCQVwT?dhV((eoRm$e{`ylzG?W|WY2C?RkJ0%GO)+#CoFG{59Df;5!}#0snQ z#&>o)X+g}EtGrajKEgs=iX?5a4*mZ)P+MwV_fBSXQst3}cTWz9eFc!InC-5Y?!N7Rh+fa&7(2iJon1Znd>Zw1&}P>1@A zpghx5Uh|&jjOkIN*5&mx&?aN+go$(%F!TGHCCg?CR$3v8H^6s44gu03Jw(Lb{C}S2wsDfH5Io-!`+xVh!Z3U_7VhO@luY6& zcakaIER+P8n_7xd4e}?UR}%}*e;ilTeLtr8Ttv_Sv6b%4kA+H&YFRQ6;BRcDih$lD zMt9}7(2L$d+Lee*`ZWGCi2+(kfTC(bNqo{?;pS4ez6=WC`x^s6YQk1qeUojaAWO=WL!18I{+i@4Zs6#Hf*M z`Fd|GU|cTR9;hESZYFP{1>kvV*?g#gfKq|4#lY;LNI$wqgr$e@FzyExhx^Tl>F*5% znc__~c-9qwfM&teOUwYt1r#dPj}0O$EH2GT6brEd;Tr1bxG~-o$7;IRh<(_||nlqG@koV)QaT;~j59rfN2oO&LfhGx< z;H8tq7cSJV4fUO*ne~xlJLiKr=Fsn{S1E+U=z0waoYFElVbDr{oVqV6+CHayr>wk1 zTdgfGOqilC-2yfsR`Su~nKsXj{f}lVNytr5)P? z2l{J}m&xfz{;_B?>&Qt7rb#Uf*4QZ{+nGNtYtLNEMosa3PAxYm9m`y-&CGza)$Z^T zcQ;o)t9eaDlbAj0T_}eJCM8TgI8u#%|N8f1TG({^(7Xi~`GV8!c}r;|WbHVY>K>b! z8_v2xA7%aI+eLVPcXmc0(ZTU7LI22fe6a5QGm-;mx+`ATOB1gBh1zEH{XVgbl2vPB`j_Y{yx$**Tsgecp zXiSO>qSJJusr)x*NFYF_fJQ8?V=1PRIoa%rF-n5*<0OlDz7ns8{?+x;u%)Q&=(Xtb zB|9??XQkEJ^g>3A=DkN&u0n=eVqw-Eor%@EJx;D8Do^(@(NnrUS);E#2T_Jo5I&4V zga)EUs`m&j#cd|r8mPae&Ad z#T?^zjl?GqX%<|jD!FbyOlR!GLG2W-dd5jB%bf_cc;%BFkLJ7a{uQZq^|vR$?Pee0 zVWZ3I#ryr~pr)oKy-My3^wZ71#ebrizf^M0Pd!slE`n$y7RntL=eYahOjpYykSIk6tpHvgtxU zKpv;Jk*~6?6O;uz%TuieTL>Ov%Ye_>XJ%#&#^8R8(sWy{G?a?O;N*DSr;&?uRSx}a zUq1nv;+KMr{i>DQgxTX;7xK+CY6o)w-L29#us2ER$YUoI#iK2zs+AMEdk*U6D2Nf0HHFMTcCWF&S{PUVMUqT>Ch3QD}ozxIPc$jSJy z-ZNB>!({G{l8WSEDq|Kq#k&G(wYbeh@`NS6qFD?M*AFNtm1=FNwW$6kSu$h@5dB&- zxsJu)0ev-9Rd`G%g{1#@>q-JC^pnXA4iEDU)X6uTDpYw+LXWkbOW%MR(-YMkEY-K-Z zes#F-%QV~m{J9XtE-kfF<2pbl=+NY544E-bqIgs!mBDPe^n2%OrO|>kVfasV{1V>m zoSIS@(5GE<@x`EiT;mpVQqmj{ri*J*qC^D#{J+C#iONK14yMdrxRs>?B`Drf>`9Dy}dod z^tK{#z^5Bv#3xSW1Mm?nQ8b7U_0WVpQxL;mv#xh(D)m8^m(@t6-?;3TN@5gvrpp<8 zjDl{%9Sgh;3B_(~PFKB#DmNFgwRl2LRFyq1czfBTPg~G%_e02VX_-Qeoj&yFubS=p zikyZ-Q_+RnL8G3vZkHK9*ZBi+V{n=^b>+d|f|vjX=2VxEPVVDKs>3Gz~6H ziB)DI0baRf;!a+)EF_90!^VV8tH+8p@p!efSgj*dBCn6CSc+&0DDr!ES(7N7>Fw=Z zOhfN~v$8qhW&dEqauyT&6|CpUtwWknaoh8uT)p-**-5!wRlNEnrl%HG2@Pvvs#R1~J;qHUQ^;d6oQYl*ZM&(^erd1GH~G zhgj_D>c*+gK(U=DacBSJU}vJzTiW37(@?NW)?1GG=GJJIND+%M!U)I1%zmrHgXSbM z-MWS+lhyeW^F+AEZ&}vHv1`;~7t58qgFSGz{*0%^Cj&J402@OL%zhH8oX&T*Iq&ao#YL-uJy5Evz3pt!md5=5DgHX)X5912@ zwO;;id3kWW0DbWGYKIT#*X?i<6Az4!2MFh1xf-df8G;_{M{3z`bd4^tZO%6*{p@MB2u=JCUj^`rUEh8_r>rI9D&L_r6 z0S_q=g7#o(U{s%LSBGw};h`4qJv{p&JVJumiLnW&xR^ux)r-iC5ro(h~bHyPhie{-aS1Vg=G7oqaF<#{P<7P%yMAMKRU;2xs#;L8)GZ>3|HFonlUH z`3`-3=;P(-yl;~!HUjf%wEw*}jIaBZY4!Y~5Ni9wT@_CtbwVzIx%&7*;2DCU>CUQA zs%zTtod=Q&i`H&pz$#+#wDU0!y7oQ>huv#`3PMBje*bg^{bYhuoCY3VeUy8*9$%~S z9EaPTu26RH+LXz4-+wypC%>qTvv{Lj&nVIfYURtDb2LOfoO%CnaX*qjFUi*fg*L92Xs3Rr{1)>&Tpj8eSJ%1nQ+@C zr=nL_4Ni*%wkP3;?E~g(AetW}0KmOqyV*ipl81Uq*n^Exc>WpX?S`{cTFQ}`Ob(Y7 z=KTBoNeWsp-C?A>wwIvw4gJ)n7gK^P-WXNByD`Q?8yZLHdwN)i z3V&JGn~EI2;dEPUp4_B0t2)?H(rPi9wAmQH$7#3JOEo%r`yR1at+usnwVgO`r~H(% z~^EeRo48OKlOjq$UdTH&BEVuE? zmGrO)W=%sRbVcSde!Z`|H`tekaOl0cp-e;#I$z{EKT)POz26Hoy4s|=fycfi zKmDfy!_iWd=l&w=)ZXK5Yn!(FN80Fz%|)E1@rhAiZv};&$M*Kj*e>DA1w?D5hFjNW z55)fO^2l4v=Bk0)$Ru&%^Q!DrEDWjln2ld;Cjdh5Few_Di}WfISr}W8!gK<~zB&A0 zsvPNpI*>jeXiM~ROeX9q$KQD7xX@)yp5YDq9bJ-I0jE8iHF^Tr7d;^;=KQAO@;EV% zx3|{?)20RIV`F1PT}1pb;)U|mi-Y6iS{LQRrZ}Cb;~uYXy=k43R%Y|{GMn$6tB-2> z5F;>W%Zc~1!xpUp9qVR$zYcImfd^S?x7R88DtgnKp{-1#2w+P0R9PRvz(8Zy2VA$3 z9s|_yXPcytkNE%O1~WuVc(v`u?9NbI?bc##{wYC`S{6-R%;=u1c0NR0?Sw()<7ah= zj_&fJ5fE~juV%1N*sppx@A%)XY(?$%=a^aPQKN3we zD(}16w#e|2x95&hK&i+^_A?KR@4|3*;*$0zEpHWda zgX5NZZ(riXUHrn5Fk&MLu3~A>$?fePa&mHFVvxUhsVFH=&(6Zm*48w?cb8Clx=(OT zx!rab$6&L!I9#J*VnVAoTFX;-wC{HkqA|p155H|gy`ZMN?|BRfvun6RV@+@0j@Z%3 za368#>TXs=Vc)XP3aUNK2-dBE<}mBz!a|Sii!sShTXtq1&iKFDqxTNL2M5G(+1L8Y z6!gFtH{%~@hSgtmC)#T;x-4qN4_^YT%II|@GTm5&`mJ#GtO{n+kC1l*FK;CJ=Xs3S zDxQ-x1aE+Ah*;{c1;RUTeb#4u0b~(Mgqa7g@=Ppvx|+!o_HK7744K zpUz$>H4dM}b%s5ztH~if|55DNUm|Y?ttnua#)jF!E?UU-*xxx~NDnz`om__eC5`M6 zCrQWyWFHTw3E|-2XlQ7*9}*-#A2B@d9(KK@J~LfuraOZ|;`I@b*cD_tim__p@Omg=qQyw)3D!xt9-3{$*4)So{S#T7|^+uc;765&dOGn!)H$R@A(3~l%nH(h{GXvm4J zwMMB7GQ|I08F62Vjl-?v{@tGIK1a5ZqxI9G7{Op^PH8_5kZ1)_NUZR&Tae1D+4n7= zj0%TQxjt|uKqmF#@X$0cPaHCXI!Qc?aliP#sDvUOJiN|U0;!C@zdyt`aZk2>>L}s* zni@Q7WpMD2a+T`f;NTJH!_BtGo+j z5Dh$XwZ+BOvB%w$WOjRktvH+@vQJQ8_P2M}{=~aZXFuMt)4dV&I4>~_9u>H~M zoZF<)1^eFd!6cCfR{hBz>=dyJOMX`Um_DQy9CN=G%nG_qs#2@p|0MebL_&#Wie$*~ zJGWy>UtATRk*HJ_Z~MwM01Sq}n*s1c@nV=55BTl%HB>{Hav@o?mo)6gC571>eS7D3 z{Leyd@n@#*kk1|2y;ehJoHa2IfPS|t#Dn*n-O(Rm4F9(TRWxPyZESt0nMerQl6Q2x z(QKBX;;uw>ak_n{h@PaM`9U}7pkU9(OnU@S&oB5DqkiP#s!eFpXlm%i17&Pv>;acfl(G~m?h1r{&#h1 zD0xt#+xap@!E+Kn2fiCj6$ac}>Ed#5Il{kau%(;3;nq~F6EXmTZ-NoN3b@hi=Yzpw z1_LuR&a0#9hqTlh)zvn5Oa#-h;_Kj*el>&xps32*cqkyi>- zc%8(?q@>C$FFCpC9a5R^Z*Q4dSxitpg(XzYhy7JKE?o%l?UX6PXG1oroGtoo%(K;# zi*X#ro0r(|`KpKV@{fmO_gbS4VL3+st-ZCCo~=l|{W4w&hnb}&OckhyglP`~7nT%L zHE4Hydk<1Tv;*0BcFCSQzbO-!2Wgzi(OO?viW;ISZ#?_HQ7)A3-oV5-XetA zQjd5=R#WNhN1i}dz)>l16|+6W09^iKh{8BdRUw#UPH7LN}%6H}6KK9kkT zZ3Io%l(;~dydN*`d3p}{Jczn!rLaJ}H`Cwp$I1+wjW#nP0d4Jt$66%DK3VC`joF2V zY-2nq<1>xPxMAGO(q{hrI?Sk5d(TX3`XZG{HZiUP*#IJ=tMoy4mS=qPr0-6|cT^&&>6V2O;{@)c9##XtJ< zdZSi#8%-EnN~}ixmrNDDN1HxZ)^DR1vstTBuZ8RVV%OUq!R}!1(ZFJ05DA?_7 ze^j-BXeAo4?oJiL>5N~Y1I!)4;|Ivg%UwC}%g%`zFVs4m5@(BL za@d{9T3VK7X6Q*simXE(V>IgDxg8B<{GAA3jhGU4CZ5LToYF zDELMnXCH1n?@u)A>t*lmazH@7>e2(TB!$a-cNzp)x`a5W*x*roqs3QzTtr5LAcY*V zM)! zZgzT~$9?4fuB(H3?=YxE27D|apx<*n2;;wyR$$Tgiu7b6q&uP;XX%|*2BY0=o$y;m zu1m*p=FtO`9Wl(i>fmX`Ao$Ya;}Nm2Dt;VI0s8hvQ-lcQ3f&U}6$|+RvGDoOU;-By zyG4)*7r! z75=GgC%k_aOve)*5kW)o_vUG~NXo(17O#(slk<4K+-0jbXlY56Im4<09#OOQK17fJ zzoFD^4eS%7MZo>~(zuU-OSZJQlA-5OZT@`A7tbGBIg%=QEY8LPKrCQ|DsP2N8_e4} zT%a(LLY%pNynFH6A+G-V_3Qopy|lD+u}bS?IzNP5YA70;#b(3vXr_=_t4*=ow(jDc zYq15)55=6o_v?a&N8ViF`;9!HC_FC``MU*pE0>7m6VT}mWxWlsNlrYi>KU1eTbTG$b$(jXV}PKMDnlXh}d+tXuQ^7NIIL-Of()*hfxks;fk592-x0w zHl*xL1Vp`L_+K*lx|~KyS(`c;^F%7Dh}HJ(Qb#xu7;LW5*)6L2l@DPrD>$Wm%bO$@BXnx`ibM;tU{r_N)6a<_4t$;eG9d z4a6i&;lAp;%}28q<%T{4qS5(E^^p6qapLelw)V`)yj~DSR`67WqP4!q4sfvR!vJbNbWyw$sEwH6;-{$_1DK<5%zF*_c~4gwCEhS?H$;j z){0_6hy@K<>dyJq`Ox^3cdbJsQf!NniQF3NKb-OR`g*6`&fsFTPE1%>Z9@amcP=ij z0DpgKoh~d)%<>-v1WDqM6f_CLV|5&lRO%(hE}ec*dG`_IQ1~&0kL8pa=%y{^V3`H# z@6&BF{7j!aOhiC{V2QFxl;{(&Aed0ciNY7?SJwSoQ%0*``MMH}FGi1$*TLce&XwxI zk`UyV!mG@7Le9rkIY?|guX>L+b!-f4& zf@r@k?O4O2wx1u0xLO9}v}TLgCdYYWydu5Tk44G+YH)3d1m)AC>HL#Nl8xcuTL2)Hi)av z&d%-(@#m!cNr*Yd3obSVLGsLYfq55^-NE08ClprCY3jQzD`y?2H!;K}LJOG!*bAS3XKI`8s_H6s}iN?mt(7 zCu#A$U+Rx8?ULpFL5@$C*USCRP)u=AQBh$bg51{D7MI7pql*h^MXR;&wNf5IT&Qf} zKI^)6rMMGfDOb)4QY!g6@kd!^;qg|kq7G#hr4|bXF|Z1$g7aGfMk=S^07j9aV^%`J z-rgP@&PH={J=`T6(c4pj0nfj5*%mdo4*D!fd2esJZ7$a%87r&)j@OirES?4f_sxK; zeGBMCeIEegR)_)FQw9GwybFDo+?f68NiR1|#G)zD~75yVL#c?P2pX zD>gMYzHLX)xZfV~h05XRwT_NJcSg(4yV&UI`RS#ivx+NGAp_ckhItarL`s7F86Pn` zvhWLqtKsf|^H;tlGP;}0>?Fc^?CB`3TUB$@I0%;SXrI4(-aZ2I^AiF(zuP%*u(KoL zr=_I<`~6;+=t@)(4?Mhzk5_#O2^L}1hnOkzYUcJ@k;bL9c$Y!gT77nd7l|``y?CiD zr#6O!4?MzJ@wk3%slpNOHXUs0s%#o&Ngw+l1%yZyZ6$tMi3xNbIyNB$nwneNXswRE zQKZc5{3w;XuL)j+)81auqgw0skAlmSAqi1^di_hezcngP=v)k3sW>DB1yt8I1b3%y7VyJG`_|( zeVe8yRky$}7diov9qN;V&JdAqVvi}}5T+Z|Zd5_|#o{D?#6v0*9EGMfe?u28Uf|FC zmKs}Kd_X1(8XvL6fCg=E+_@21ke^ud*dIJBW#7w$Dk^^@mw9?!1)n8OT8a@4KF`}C zjk4?2C~MxKw^fE9cKU~WLQ>d!I=n4!4!@oxs)%UsDpW>>MrQk-*vZ7$n8YqV5Qo^C z$F7yx+WK4x_eIjhdd4>*(=C>mA3`kL{&m&yRz+~m#ntJQe>+O07f0Gs$Tuj!MpxE% z1j(F;_!A8c1-dSDw<8kU4tq*@*>10R%Mp|DGnpyb$6?eMrwc4FJ_FAy!ww`xkSYs` z6U)2X*98Q0q);k27&%>ZaPC$*vN@hCvIfqR=xE!l$(6<1;dTexL{*^?<4+2C*ZoX5 zZZw{xXlhI^2y2inBT_n|yMZslh)*oOjHgGZba8pe%gg)3SMt8&%XV4)&z4&Mt`*C;h9T;x7jX|lY->&3?TKytyNB*eaS1;6m4LP zXweYE0w2Baitu&T4f)f9%S0OSCgjfGgnwCu&&X6~%Gs1rDVDqC{XF@WdbwSvt}Wk6 zMC>iZ<$n98udi=(G-5y>LC0K8P0ice+ufaAi|?n_^LC~OoCLz6u(hQA%TrYguCu7V zaYg3OZQpM8*PXe(V0$IDuXP9CuDmNz5N6M#cM1=yOH8W|)#ua*!Yt@@F0GIqsH2}7 zXiUmjxgYpFLig<9a{kG=gXQvDw7QY3W@-n zc>5jcz*0|-Z>;sq(0+?Qn_3{2<%C zJP%F0I(MeuoVq*okCzByX+uQ?&FO1;=t2jeCqpB6L4 zCzD`s_tC9jvZI7`L3rAb>P0p~GEh-0mg-6_M@^JFyN{!Df?&jw9 z^zJcns^ZbE(bfVX!mD=RF|PQz&Kt`ds<-n}Q>wX-C5SeNjIJ-jn(z~f|8 zen6Np=^t(TVJAuKF?oj*UF?j*Rq4W@F<-PkmZ6(fwoG+K@4-r! zGF`GN@%v|<@#H^#9YjLgQ=;nOXliQy0UaEMKR$&|pmi-c;WN5d)Mm7gL_rtD)>IX$ z1@3Kd=D%NeV8z$}@=Ag$Y`dI5dMYNd>gZM(w5d&pM z;T5B%P+5Qi{pKL}HxxbB=&GMz!>kMb z%tNll6WJ0nGLs_{-dUeOBuPnCwSUikT~mwmlfQCtb#ifW2)WcWHC2@!e=-42){O|9 zq`jQfxsxG^?9Er3Nn4%4_7rd+-lVC`+so}6p4<0xOS;w}duRx=Wn$+)zokc-C6rmd z^(_>ewQmp(KGn(oKd zVJ_X7m}Z~~KHvW4>y{jeJv|MCKKw1@X>3Hexz>4id7p|t@`7_t&!{O$0nb;5G;VVF z4fyJ0PJ#qcf5_Tdm#geR6+>N;Au!&O9+bY_?ft zWq*wg4o<2W?75IXr1JI*gTcKmASSP7cm3h4rR&37RQ#~YidxWWvPi{q#_a9v!$acq z(z%HANmgiHKb$YT<}cf&YE1@d)}5{S>$4|DsM$3yult{cPQ1Ib2zyThFzm=+)d=N6 zSr4|G1}yHiJ>`N`Uqs-txE)LbsYobV+Uz#kx~+M}sYKvMLrcZFZ*LSi$DdfdPr1*n z$Erh$7#r}Mjod`K&zWPoE)N~`ix2zZa^BN)2!vQStiUHz-<%4#j9;gKbotS&-R&#h z1o{ZE!eW)r+V75Lou7TxZhXQaHWwxXw4*@u^sYh1)rFo=A27Tqf3Z>5Mg1fg@9r`< zN-wCFi7-rclFj+KS`?I$qrq;lo)&Th%1?mX*ot6id9rGr;h8`D>Vw#pzX-rrko~Hd z9G>o`rlkp47IS(X5M6BVi%_IG*=_w@9nP;1>iz{PI0w6|x75C_eFSv8 zE3=03y&d#jMA^BCg2B}kh?W?dg-Z*~TB{p7+12{ZhE(SPeLw#oxSI0Y`bc(^=tz(} z2m)s~E`zs++6$cnX&~FylN-tgrDFQS>;Ags1)aoxkSZhtHAz*4sr7Z2Nq^}>ozfdb zP=MW8C*yjmkx@`SKEAWnMnXZ-7@g#t9GU#-Lq_&+0x8T8HdfX@hIOZ> zmdqK2&6JrR+qV-^?e878cp|)s2QxK74}i^>lhb>>YN!#ro4XskgWgywAfSz)2ijQ0 zbSL{oC+B9WaVuKqB^A1=0B?>*$G>nr~Uu|#QA6Np%HR-uM>n1P#2;u$`CX7P0vDP1!h>exM zw>s5Agul`2K1>w&3{hK}MTP%QArxE0kVri7fARH=ft7X3wmWvmHaoWMbZk56uwxtD z9oy>Iw%K9Fwr$&Qr@wR0x$nLE-u|_J?6udLt7?uKqiW7s&J40-!@3t1*APctSymY{ zcKD2~rkMdOrueOi^M#GK+s5kd{yodB(=qUtI0eelsNLfX$hcfLo*;VS05807Rz49; zYVXV7c3QZ zHV~hR$oV7Z61;^F#GKOMC7y%SOLXP*9OzAPK3xN;^AOm46D_@z7xxeD65!%G=z>>FlLw12%Z>R^Q zy_t)zjHzcAd4iUM^*dyknh>wZ(q@*skb5zDHg;xow7jaS%E#^%^7dH2)#dbBkI8X= zG%WJ0%6qs$FLNNT(`)v*b}c_YA0hyvf}Vb<@8W&glPqc0X7@tjTY6>Z%kP3oRM%i` zS)CVMZ>=N!V|Dq*7OUYm3uLt-6<1CbiWm?~2kl7hmx$7x#Y_FUG2Fh!cW)nD?U9Y- z66#<1*=?VQq(A^}^6{$zcD(bRe~tol)2TCPYr3zmDJV`_e-W-uPnKH{IgX#gjSrLJ zSiHKK;zSxXv0i4M%F6bw8o#i$5Jhn5C@lX0!7rnDJ0JI58BYq5Jv|T?siV_G|Mcqb z$6*X|PM9k@E;%nx?c;598i}b9SFFo>gGf7*=C@5Slhr|r!Rx8|l(jkR`vrQHt$}~~ zKgH21WC;t1e~F3G0@-iQB5#64l?_RS>Oe5mp!IpWvFHgzKqTOO=u7fuFI#^wdG|4s z%Y54kW3X9kn#?g7E~JuQSy`!`gK=|Qcr=XqG~Yjz>^14X6|ZVX$Fk<-;R1> zBr|gcXr}8PDrLt9tM#Qy|>-YYHS_6JyO9ZFL%BF0QBI81R-{xf7Nx#=131NXl7- zAc{=>B$FG{BZgSjJTQ$M8vljN|ip&dwv6(cn!x)_LcaT#V z8GvcoRLG`f$g*x_6PGeHhSf?B)tZr1PyAjx+Z)AIR4k9ZJ3Gam-o7cGrqgP8xIbH^ zPv`EG@=eK1A|$}5(1oYJ|C0MepUkPuKSjbk)Y|<#Y8Mgir00907FboNoPV8hKySDi z`7r3InS+&f6jiKb=*F9fP!78YWUSOeYIff5CLQYs<_Ngrj@HXGZjYfqKC>7FJ+k6- zvSqYduCDDW`=BGsb5uxt86c|ILhfb93eXb!A4-mn){-Syw}GUV>u@wpw(owg$I>3~ z7BR0lQ@5a;HB7*I01<1>4i6ujq>eHT-N9xdV3v|U9gG^CzT)yQ{ z{W$UxQPclqhM6=qh2k$5eaYRk+VeUzOGBqs&*^=nGF+61NXQ!=9`5x-OHRpHm6g?{ zS-72Hdb~dDzI<*?60`m(6O&Y?b}C8P)j9%j?wi^zpoHbXwXl z0|Tj&C8qHrXTy)%W>j2XPZW0EWLfm<9i*UE^(nEQ+aQk=_(c{NVRY!#$zrs$9i`p#bt>4hMZLYf z2n5`Zc6U?Wu1pNtJzkgz=;Q7uP^s#}gqrC-zupW+9O4GS`!MI}JCSlox9!~K@{FfL z`M!(IEP$+upme60ur<4a(cUgRAr#YlZ{_a(h(`FL^cIGjR2fnhP-%OzUbUSt;MaV5 z!nCC>h2F0+Nt<34-B#+WD|35zAia3U5shie@vk-tpfj;(ViRHNHh1S7UBlC*S&W$q znVO554eWEA^lkB@!tI3{o?=ien^DEm;Hc52Hyxu&@yXwVTR zkN!LJRTSzeb?yS#+HcrNb|prBP-!*RL_nYQ!#QaEIQW(dDD0aib6cEG9iC3Ow%*mT zv3uViA0b>C8VCr^I{5kZGBTFgt;u>VWoOX=fDOJJ*Fe;wse4eMj{>q<%qx3#_K%-$ zFAUifQ9nw;AXJ5d$sc2pq1MIuIEIlPwELryX8eyzmd-DYOVtqQV00YqI{l1uyDq>G z{LRbaG%AuxR~+ylIJ1SJh5NCuL@<4CyF^KHuTT0L`zq&ie3bF8tWSypFIeam?ROF; z78f9GWZ;} z&MHrwwd2Z&=;7JjBqt90G-l~oUIHG3uS<7!P zRI~E<6+#5!q5K8*PX5tZ*(SFb*OQ}P|6KE8o+B;=s>YA0c6tU9fVM9eRnZ&kIRuM<}@H8IA z5sr)%(G1FZUrKcf>5D%8tM}83nZL4l#ld;^+_nfh-%8!~a(b-Xx))b7$lc3A@$CBN zL~CpgwqFp&3xF;F>-Za~+@~&ux3Cn*rI4~;`jL#M)(4?(GgI>eV9mY)BH`xJO6J+K zfme4FQ;b`b6&+ZdkN)4zEWK1-^4l~B3SUa0v&AjHmIt#WW6!5S6O$M3b*zydmC~9k zIf}e;SBG{|N`)u~S@x@Zoq?Yik{ryohmNq)+C4Iz7%EXE9o1b`Fo|)#!&U`TS&E8k z3##yv?2pMkCg4U~o%}PnVT+J!L6Cv>EO@|VHRJF)_vv?-^7j2+D@_3x0CupyBai>tD=Ty5Pa;s(l}0OAOctlVnDGww1nPQA;bQB&*rRF>Cx zHEU5&UlTCr@OOd1LE%ve+N6qcpcs~(%lS-q?j zt;Sju&BR>OhT0sLd#2Sk&=mD^JvyX-;Z_J_`^!;W^5o2@pbQJ8bExE5@EKypf*(O( z95j!GqbYOgkadnT)AM@ETIQ!vWFI3ED%z@Pxl)N@Oi1{p;Yn92G;pYALKQW%LyWch zdteZIc=cwt0ACMG-nPihgfe1{3o%)BCZ0G9cFXt4F!TN_yT@ymsmOXG`R$Vk>it;5 z(UUva$>XFlu9EeWmCc2JU@>s?BiM?OEdeB)0xTSCxkS5TJwICCJ@+OpU+X-U!r)4a z*yv`+6r`u5ljQN=pIt*#a#Fc^O&yjcL}JQI7RCB_wr%E3+ zz%|Qqr!Hc*_Qh@jt?@K_KMnX^1~i97#DCXvkxyRMCGFxli)ywHJ?(!_;}J#|YK+8L z6|lTnR&9{-b3|j5=j?zRA5}fw6qbS$qt&8KvmgGlwlpIw3s+Bu4imtfvZHyl9jaU1 zRFx%4hEk!!BEg|;*Djtb?sFe=^ne_l(D`<*hEr;x!r1t!bp!-ZgmO+T<6LkAr^hS5 z&z`&{bFRfyA1@_O_>$|lXb?&_gQh606e8Nqz@Ti6iH_lVd{x}qO7LE!K>1~*UX~P^ zf&X3P{Co@}&Xab)FFH}zC-VQa`vdtD$vTBNaXLv8=9jT@ZIWu}m?#nx3D*OwZ{MDeREO$u8;6D= zWGGlz^QsdP$dQlt_CCjaVH=LQx(-7=|1Irn`HggMxAW!vB^!VGnc#$#!%&d{5ezH~ z2ni!Wu1@J44$dV~k8EFN<;(j^VFBm(;f6Q_>~VqAk|s<{?WdI(mu_P2?v+g8 zcVZ`kXkycPd23DX`I5PiiHUeIUnpa~+@P7B65
%(NLKHGRd)ur4_IB8qc(+^*keb+O{+Aog`}F`s2F-9289i0BOIIeI+MslLVY;h-;G7<)K(b|VE& zTw*DvG2%a2Qt=rYkAs^O5mGCrMO?AFzu8Ebf!5Ov?Ap%Ay3dXuSxKqQ7i;GC%%Ad$ z<8O^lLNEG=a9^RPxh$vlRA0RXwZQ-ec)DzN9pc&@*Uvd?w+E_{kVZhN(g-w1fN*w1 zgskbDqr?4BeVS2B@`3?#We}^y!Oji}_Tp22#4w#UYupeSN;SWvRKVuk?XqFW{@lCE zm2;*czf<1-Cka4F@_+3tX+s$2j7#L}bmv(1JgEsVOw7MWq}A&*4KQmAQC1t!+|`eK zcR#LE-ITx-)gs}1Uu#jc@8p3`t#;4&eExLzh)Xeg%iC50mo&m}I@pFpW7yxXsldK3G6kt02BKwV2&6xk@_-6c4A~i|XF~FDwd; zx}|1GFnWhQp+xA*Y;^rFd8vD>j5<4wsu$e~WRR_aYFv(V+~)j3-)#qPr62}jhiH2p!dfnCmSnGf=Pa0i>YrRng+-$a~BuF z1ri~cVWdAh4H6FK>n=qvYS)F3)Q}?UH77lt@;%B-aETJwY;NFUp$T%^Kol>M2dipa zCuSp*E9M!pRZ@SD-vqVihwEv9C1jx}P{2iG5qYr&?Qg~SF$MW^_w*DgFC#1pjIeX^ z_xXz*Kyq#|MmOg!R2#a^f2T(%MmK`bwU03z2g*ula#zD30A zpHy0MzSPh^+Y1&goWeQnsVWLVF+jjL@N8YT|77(3Togg|!EdmB=8&Z`ticBW&Z8PV z*;MF2dMSb5F(bsX6l8j&rEK;wzm~YPw|RxgqxIa#+vbq`CylfdmuCKVas8Q|BxV2< z&Dw72zl9{DPTIp%+?k#nW}q_n*`W>xF4?&SBq5@ynm#nM&VM_f!SW}8ZxLv?#h@rS z`7X{9+W)Y?f7o&!{Nu29EG4&kg_7@B5P%I4!P{6Vh}~VIY6Wx>6xd?PkX1Q+fx%JP zq+pnvM?UF{)eier@$PYH`3^ht^+Oe#LM}LC^yyQ@<)Uh^wjeSej$uoOb4ZP&>RVhT z-KSm&9z$r$|8a%-QdhaGS>~;F(&#$<(dxn{_tzpMp^`UPs*#TW$6|Q4c>jsM|9$2B zb;!3XLUppY+Z9@JF7-?dVztP`I%-0K53!Z4{_gFS4$BP0Bg6=;*e&SPs~i3_-A(fP zy1JL+2YXf8>a@7m*oVx8y~_vairtnxdpxV?9Xzh(4V(Y2?)Ep^xR||$+pkz=zUIyLVWLU$ zpiAXcbD!g}L}wy5j5wXTea4iN>_rYgUUye&9Y>H>nyFZw$uue`Utm0C{?5gkHc{2y zm&>y3N;i&cgSMX3zLfC7AgjD#TF6%M<(*YVtHbhMyD zqj6BAOhF5&E*T2HhtrQ0IXR!XEFsbeBZag(nz5CN9R)eXT=hfWB$}T5(FIlOeoIKL zhnwV>1>-<9rS+K3b%NrR>ToSbRcDo!`-ycM#QgY0my?nP;|P<#X%^j>%)doy`Jq;2 zutq#WvzMPA^;xc2)wnEvATgktiFMlQJx`)dY4v><81nPIF(oQeuY7A`Y&u_3hCEPT9X2BPwwCyQ2K7^Bx=gNA34-hr+zPnG5)Oqe{>eZf&5^a zf3NEKs{=nimRNtQ!oZIpLT+MS5`8B3+xfXTpKcF5?=JK@oEmCs@+VIY zoZujTnAk=Qf6b|>xsr$i9~@+e#BXKuX|uMgx}V4vGVY%!FSBgf0w{cDOv5Ni%tHzJ zsjpYCL{Ck7Hn*$n>dN<~n5_`q^es)zU@_sGTfS}8rH@cL=ak90>cG7_nt=m$;LXJ# zULe;)RfNl?!-xND#nSX+Dfb$plK;lw(JZ3R+hV}zryYk=VPQsi5m;Cxe*hiBK%WuX zfTmLmLPQ`-3O{Y)%n-EzDXHVzOAs6et7a9E-HApxNR%DmK_OWaPE}ed1-{eqIPnXu z@J{ZR#Yueb<)tOlue{Tv+y8K}p090Xc*xy6?GF37YH_&L@!7UxWowDwiCh-*MV~A) z*ryxcOY+`khd-XIjZlw}$Hn_V0T(Ue=6-)+VIw7_=Cl08loGtqpGX=R7CyP3RT`0a zkzhf}T55MzdotZh4g7Xp&DP5}MSOr{p1pbD;#d_7)am85G5>{rd+aFn_@w)%rHExv ze}#m=9z(V$Xw;COC?TS?c#?{yFx^ho!~9iunf__UI%t@}7v}d*G|8=Ov1z(xUl^Ji ztM-G_yg$9L)B8ylJ>ut_cpqhW0n=U=Go-vyKzLD3l2pqB+6zb)|Y^2kxz26FB?p+f27gek8ApY?0 zBq%sce!ZH!>ZGLf!orh2xXYfPWR*~=a6F3(mG?NLIXQ7JSn#WNrje;3>Yt-yG*rzK z<=P9WY#_Pfvpa(TzeU4DI+g!M(kxlCC4vy~Wo@MMr}#Wwi72TPB*~1dC5MLTgRPr0#4FPz25i1EdrMH)Uot?R{A=u*;Yy`xCl@%m2 zvwyb_bVud$)>6}}pcJN{q53^MgjZHBLLR%*)YQG)NXl*_3XGErAE*DZ*ksRC=P7{? z96Ftz-QK9n|3PrDr*sF!OA4;%J|oY6^qLuBF`76C{xRIBL(ozZr@C~mU7^`$b&g?2 z4%3;YOCqF`3`tE)M}AZGZ+4Vz1KReWg9J? zw1xKP+xS*1+%a12`@>j)Sm{>LWvo#sAchq-&oovZ9L-MbjZT))PWA--)5p+sw2DgE z9G@qL79(`%qmLn;!=WHQA&)zXegpjLxqv%Hv5K0`$evxdMcs3&>$=cnuxy&;SskED zhH?7?4veb7B^0?uDsVIN^9tJCggm@LqT}P^>*|syLpP!6<^6Ju$|F5B-TCEBH~XvY zEVvr>1FN;8I$#mkn%|v!6pwK=NfEiA87gI@dz1`k2|0864imPGGQ6f-ySh4bae2%S zjqLYU(U2S6osbHLK2r>}BvHif(m&Kaf;t;0u*-)obe%f^9k!ipJXO_UQ`kHVqb45B zLJQ}yu9ue=3l4Ki;2m#PG$Cvu@tKwrkBlO4uJ&_{Eg`=r`_*0qZ(SL@aW#&C##LqK z600>1r;C+rIu0NiWN%fNN>8c!XA%F8F(S(cUOGD3I_%1_o5Se=EGf^+EfZ0VuZohJ z*E47&Aoi(C`1eXahS=SEHB3KInceI*FnQD}?3<~=^ww6>BheSCF0V*BWHLVGW(TXZ zP7?~zf{_D`LVx<~9UBRf2 zR15iQ<9bGg9zHTM@$e7|O*uXtb8PcB%)OJc8b_=^+4X-FsR<*{h{mWTA)!glItJ8skV<9wvB7Ct?;V*GKBPZV> z%q|S*cBmGWfmVQ=7S=N))+a%phQX5A>a8o}7#s=%4-Tk@AjUb&;1d_O&hWSi83=BU zxq=X*D_ck|`uUlHj3oWo^v4e0=*ISMneu#brUtWNpJ}a|qw0qBiM;edQnmUVr)w4+ z+~$|A^|O*Cx)U-NtHqM zi&)OLA5a5rZi?F?bAYa$A~?GEBk}~;q2WFwVtb`*;?XzR4(VS8*QVupDE43CE<6Wa zL*gvf+7iA{#s|Z&K5v?QR|4s-tBKY}8R;11h(rfTt;WB4QY8NFHuSvvf9=jxy0$cMQ6%-?3J=TVje4~nC-N9uB{g%12_=IZ z>OIkp3U$VB=dqzS7PfLkRCAZp%8PZkGfW|ryPzAxqy588Jy1q7F3y`&*{3Fn6jUL6=Fz# ztHo-cCk;!OzS!}t*~|I!2t`)UPx9^E^iw0L$0Fxz!Up;}jV7Dzy3W32Zw1m%fq$sC zZjwT>MuMqezF8$ztasW;kwhBkypP2#d4KxY^&^NYBsUf}TPo7sBPO4*K@zj_b#b}Y zqre4?%QW#K7b4Xm$k$B*yhU@qH0~8U++)OCDfq{`4uUdzh26Oeu(4rX^5A1cr14j zFJwE86>Zc0b4Hm6&1e(dfHN6akL%8PqXhN6LZbYAv39Gx>IpPq#e>(yqtsBm>sljz zYY82E0T758hqlpa@T{#gA|p-ID~&>99!3V^6gfEBLtu=>H8>O7+q1I#;H&ShgjJL& z>rkE_C;Ow4==J5SwozcxdwG)G^6+-E8TwNt<5>)G4uyGm-I zUi;I!&vQ>u89HzRU0k{~+h%qa7G5l}=ujtgZuQM4Ff_J+eAZ*Z~Hw-1~>-(BXl&j4#RYELv zU9hC!t<};Rx5!0LkM%C%*12!L|#S)IaP#o(NJ^$SmYyMKzq_RF`Q+&s87+A}(M zyH@($8;4H@PP)v&r8JuS)zVl5Ysd41kE|eFqQyLPXdefFKm z>Bo7L`_BXGt?($IAdAvSc)?9bpKVI#ZfhgCwIx<_n_O3OZR#Rh>}LW>oo7|+=SrNj z-j~$MLs8L@HHC#^hgaX}lk6qwLCQ(|_j4&+&pUeVI}pctA{WmWhv78245FJiCI^fV z5p`%OW-T=jr^*l^u~+EY=&?uU)fwD^-6un~c88-J+W{LI@p%NWe~%NE404V*fCvG; z(Rnx?9CPr)MA;o)Z)!ML=8pV{rCTFj3P(rD^sLyj!u?!b8UQB&dEUPoczyrP7^736 zMjcbjLgO~_kcBWGhRp6iv#y_zLgnCCo5=CaEc~tyE*DY!M|AIUYgHv0052wXMnsn4 z@Ep^J%jE@-O&*@~If2{tY@yXM;h@_V<3qG@ay~yGH?WVHr4CJ3BoHxHK$5CKZU}C5pX^dW5&-^KsOaK00 zpi(HWj1ysBkUG@Y*J{`!+$spLGnbM>WJ}2d7ov=B?%y5>FH@si0tdf%kWejY8(n5k zg-|>kc&bk>Nw+tW_GHM=1_!=0_-y#N>XX7pLDgk>KTSg#6NQ1u#*SBv5Yc2EIa9M~ z;-O3*6b>6JU5Gw+dH7c700h+7A7H#P6;^Bq2)RJH8q^|rw#kJG8R!g0!18u{ueA^&U>sp$dB`cNY1G_lyq zG5TL^TO+5R58a_R2~-7+SEqLSf0OQ?n?%QUd9)XdVXL&FZA+5J-bdl0xV}N8OPwgU zZyzk?YC(oNlbh@7KO0J}-08oK5+T!H(_zlq_viSdJ5N)vGK|~)>hzj_lxY6LR%VeY zsr)S3x*flD_7>nc_v%HHo);F1-OOI$8GHb?#tL+fy_A%=IMSqHU0vN#dJDdW&#}OKjHXYksM0=#924 zTjjGInoU(R1+o}}lw)eanvJ&Wzu2TpRRW#P^$I*)ziMCCbiO98ss%E^+UXEuBt2hA z^Kf7Q*5D>Y@GF8+Wt5)gp*c7j_ZbLyy>7_3QZ&cO(O_GB-U38P@{JRQR$c|pd{SS^ zoDK!$D*?jB%Ve{A{7oLDA{^Yk4Obg+Df(wQnF|}> ziTx#J_Kh)auUvYjE3DI69hS=e6xCa|+vl~_yIgEMgnPUeGKAgzRvx4M)h=+EAIKz0 z)t5;F8OgozxVuwd``v-wHnrVyXC&)~y=;w(Oselx`FbO68syfY{M1XE;WrupfSq58t@%1{_SZIcetrpun?+=i6Q&{Ad8@TSztcyano(vAg_Y38an@4mi zcYZ)g`@V4%&`b!Q9&E29(9F(PRDYKU3r!_c6*hbn`T^^e2C{+gPjF<%7tfQV5{AX1 zBD$Qr+Tum!?4nkxib$8?wGQ~SW0QL^MAT~krEemPP2 zoY>b>GSR@85VIL^S8Jk_f;oTcspz~F7<1}%sI6^bxmRh8@#1zZD-soNwS%HsYI7@9 zfmL$je+Hyv0L&-{_$g@v$=PnzxHx&q`IJ6qN{tqQDNSRLuGF5}n+%gaoaG ze4opG5w;ZWLfLYzg03qnuAJnI*P~y0+;y*=nJ%Z3wcmz^G8iop0fNL?$~?GngJr9< ziJOVdv^orbrnbLFFBT(Uvm%=Al^&`b&6dvi`3Y+6+u`}%9wnrurRmUPhR7feQ%@Iu zwqE(uc1+IwG)m|@(YK*xy_6-CvF`oZVr|7OqQ6*24*@4wI$=m!HXkwkJaDr>k$^Xu z%|2(0!~iFNi;D_c_;^pvXMyo85v=PO(xb@uRv|J;MP$OX^~)a}0dI+eWB0cg%~fuM zxH!T|Vz%7lH~U8~(LTFg9qJkmJ6jO?u84aOmUMFhD_k}oxJjR@DGOD;;zFoG8O|bU zVG9_PO%jmvDHiVBytiG|2O7cJbt zX~!GBra2T#HTE#dDIT4W3%NMK`VBKYzrdj{hKJyfWJy|-xWJI4DS7}A4M!SLGDr4A zl&jV$Gc$9YmUXdGet7C<2gfkI=BuZ9{h+xrs)%5Pm5$N)e1$d~-UaUyjd@}bbHl1= z)}&zQd8q`-)ll8qIg5JRt*x5Q_lyMYy(@AQ1TmA)zS;S*K~m@tg+I3dkggywk0P*J zg<9Dxb8THpBQ>na%n?MWo>|L$L9@ImsGDMi-ZG{f+l=;ISqPEaoh174N+ZQ|0 zmV|`k9UdA~UX?0a=U3-{(S`({j?qB70Y+8Qsh*ttCnJkVNrGNuM#o z7RAt+Q)v14(mw%%T)A&YI?wa_<-qjMpV3S8A;0iurwg6f!^v1M7{>UV{&-K3Nybs| zx_061hM;POVIU(CB+rZuhM+-DQBoW1d`W6?J~W*eTrk0F;{XAyVFCfYG{~VnZ;3Zw zDOYilV_)_T_w(n%3)lpkw_CG%YDK4$OyAWkdB?T3Y~$;ASXm!O$!jq@4RR#wwf4h8 zKNoaq7(KqR$)Iflgr|o1C@mJB4lB`RhllBP#1#=rB|=qSgU_8p%M!9k2%DEU3Z5d<)yN_XN=TDW`xCY5 z#cZ#@!9tDUZaeSl*;=dYz*_4wV$R!fQ&ZE5dKg9i*m4!)9bnteITlJZa44m8U=>$J zS3s8Ac64eIs-7hCLSa<~1V35&X5nG?3{IV51iv*!xQVyy@sBuu&M#)0%*8ru`;yeL z6SaoH3y2a zxH3pTzmQ2uOXmg*%+9I~3&-xxmo@X*ZS)K${h(HNv9}*=c8{Af*H~13yH#J)_caW| z+#VZiYHaLUPNVB+0TsYN-%DqrR*#j90xX1=Z zFr*KXs}@@1b-EN$qB{Ke7~u3$rmUB-_4X>@?Io4OFrOMJEARWbGnn255bzgtyV~gs z4*pVW#27V~hN@jV;Fj_Rh4PGR^p$CtfgI->+*vJ0brgS?qg#^Za?41K4eFjwM^7Cc zegV@!?{+yKxAkoTu8ebxZ4a?MLQt|%+X?YvJnLc+wZ z#XxNg3V4zDOEQ6Eyt;ZDgEl!O+HQ&j!aEIVntys_0T!J)5{v2W2b<*U&coU;CE3V2 zx`_a1qx&mZlo~3H-!dw|F9$kc0UxCKdV=w5tU#E5>kI5QK3Ux#%hM#GxhadYt4S)B z;@O%X_D!F1_a=#pi;MO2^iJy7pHwJO9wV_yY1PjjW69;lGd-c8TEQVpV&g*USjCSx zBYH;C{KNW^RjA_!XJEy|e$Vx-mIUBco3 z$rdE+=&`AQWatzMI7OFvJ93C<%cMXoex7mE_1|4SI=Z?6+zYJvOZ9R6y?NFv4>uQv zDKdLUhg9V{*vLOASmMS6rOETvSm1t&k&sQG(Xjr5HYUlglY}%y1v% zfl&Y?8Hyb%fDrGm`UqR|jI?WPL2V#NyQoeBP80=zlS2@eYoIDx!Tk>nx^ls`F|0Tn z!$cUjAb5A>ixPsqGf)u)a2|nh=b$h7N?QKrn}cIx{fx9cJj08NDT(CUe)!w5mseN# zd|Hd;+G@hWLyNTsgQy`YN3<~$H6g>hPE^74YWY)^tT&(OoT=+^99;*}I9yLBeh*Gh z4-O7Kz}k{JMbvp|wf%ww@FM|1wBTptjIqPe{DHCgyiP zWA5z~oQUR$o4%6LR6?n`qod>1mCA@0+s|JnV?G@|{{H?B&1qWYYLsaF{QUiW4dTAx z%H~Da$xNvW3vEz{X!~O(>n-`-x1l3{vVhn<+^3^!5!2Jw4v|3J%x+Hf3I40&WR(x5 z+Vz6G){*(|kA`}7egsDvd}uOC0Dzxs&~5DkK_3g^Zj9J7_5z}Gbscq=(bowF9RNKPJU7M9wzHut!sq=85n3IS<3N!iQGOFB$7b{?MP;o-13GPNRem^{bHOdPNp zYSk4Il7$#i3}e*r>+vS3!2~|7ecH7cXg~nqMgb$};GeGJZE%5N#ZTxZe=MbN^fMa6 znV?f5yyh5o8b*fM;d<<=`%8VHxOq?L4nFK>; zRku{ELalWK4Hg(-js=|EixAx_=qM}L7OZs}{$^;DU;oa{zdh+U1PnYl;9I|cKd&Ae ziYbTcxHZpERl9yVA*)IhREv&QAd2SXnzTmS0M+tmwM3|O>n75zQ-Bcz#T#&NbOdVJ z#lD6zBQ72umf98QZc0yaD0tU2tlSM4=Sq^V?F=_UiW6K}qF{XS?VJ+-HA2#ph9Gf5 z7*q9@HL5_=aZ+!HXVc|CW6U#x+sN_kWzhqbN4%+COB+B_@+l6OvbGbVFnHLlTvm4IRZ zy83`G4tpRVoW77k@he13_&X7$_VpH^3j`P(1c85zTKK8Im$kt5r;R6V>}sm>e`QyM z2uplUk7-&P_3zKwvr-7>4W;*1xa`%Iv#j%ItaPCOf{FpUyxGfl(Fk2-^`I>3Bs@ml zoiGvE6e1|s25EmHwpvYU!ng0xtFYj zA{)Bn$#>D6*q@8HtF%6Py~FDbwmkC%&Yh+{{fyR2=k`7QCu+_w9g3p(996&xPH|N9ya5KXcpfmK}G0erYkw z)hyfNcdTgpOpdR!Io&a!;-C;=qUVXF4)BP}H7oc`jZxuun;1la0u-Dk88WhNKzpwB zgh!^JjMIBrVASe(r0|v>+C6Au! zzgb4$Fx7s^mrY}p_f9C8rCyY*i6RhyfuZ+iyA(f7WqWxQff4>j4dxhjrnLUpZ7cAW zpj=uJMy%re{%26dqFs`0mC3&N%$}zevq~&yN>>f<=xmdoe(oM0;AaFdal?lzwcN|e z)tCQWD*Doa$unfGXYFIpTf11+lVa8?Wa6A^rep@7Bmll4+9nFB#SU+YD5Z>>%Zi_; zHV%t@()$r-hTQCw6AYg}tCy0`SfUFd{Sszu#L>kW9?#&p@LhDq`LmdrcQy7qu2Kib zIl`qUdT+A8Cf!xMk1$0|R%-pKZQ!%on6^F9Wj@Y5CE?*qs&ee!?qkGt(mD4#C1!IV z+bN5MWv01nzp_+Y3s$GWV}+ySVmxi0PZDw*6vw5R3r`lB>pjD-+OvJ_Lo$@--f$h< zdA>Zs4h-0hKZJUF2rrkrztif06(4<1FF zO1@Hab2Fdsr5~SnT-;)U2P8no|<Jh)Nofs*MNcOKQ^h(s57;-wfU;=OQ~(vfXDb4-_=lvC9e!7MFb3HaA!J7 zbg$E>u(xvJPa8q_mn(Uhtlvfgrw5@UFj9!iAKZhwyqE7@V%83n#3wS8Ghx_$IF&dX7RPI-55x- zO`UJ*u-T96s&pAU#g#KF#?@7GESx@K>%7xnAG?*6Vs{8BQEl`fSBF>i7{7-EDlGxZ z9#OVyh3Rg+H09`Uu#j^`$qQWI5`I%%ykT4)ZM3!9<*Xw9EUrI6Xy=p4SU!Dq1x*|>bFp8rocFza2 z+|#u{)R?}!ZjA?7GCRwqX*tUH?Q#bq7sq6R-L@nMP`K0^hl^(gx)BB*Lvdi1ZRVd1auY-) zB?27OD&m{ZfyBf9o&|Y+iS3q^$t}lU9n#b|T=k|@GG|&?qx_4sX-&3g!ESUDXELh| zRZK#N!IRt`VKWwOI+PdxN9|rk4-4=U7V7*pUuW0-6ydFNyWz{OX+vrZS9)mn=%&v& z-Bb+vGJ+cqEH^yV31Fwq;f!)f+RUN(FVUZ+h2yXK(g3H zu~Mm5U4 zeq1?obK1RFW`hbg57$q;4em$WJJXIH*2B7&i>zl%A|8P^Ygf1Cv<;rCQz(4`hz>W3 zO%kvE=`WDhgls~rZOY|>Ay>OSbzO7mIV*>>eBV8730Ou=oCctI4-bY^B|FmR*>3w| z_8$LF5j^r+?*RC^!Hn6yn_}Jc+^l2#hHQfKY#Kyp?jRxJ|e~)dp2MAg_Jy z@6V&RVXUnDp>JBo5ufcAX=T{V*lkLEY?~{C3(1zU+FTCF3DULsK{|olKngjbQnyvH z-ja(#CD_cQy#hS{BR_w} zogD5;vtO$eDcJW0UlO*M0ClDqcF&ahYN6cZi5s2Om=sH&MvH`-atGTA@|TS7jI~&I zWe*km5K?GBSNjwvd31c-X|>oAweXQal>ndqP58sgL-Ap+{1U3Y@RF+li-u~ug1kSu zvrp~BFBa}bK>}pW1^C<-kr&N0Zeo$B{g{2IRy@1DU0%ol6GaMC?QncXK^Sn4BICa; zKD&6jX_*bsA|JI4Vro%Dm)DX(C4Y&LczzE^A+J^uIF<$g1|a|aV_$!xfob@*^08eG zQ1fMp9(jHks@_KNA4aU&=h;*rt=)Ej4rU1WOO$mPX*0UueIMCIhkA^9c8$!GmY>15 z(<1__OGCo88<+({AUukC{`C~X*k6GxjY*qCePUC{M&mc@mveq91ud6a+_1XjU0!y8 zo!19^{lkYDs$TLV)seW!FCfsHo?cMw_KFPmgj)QCv|z)|lZgO=h;&S1|Po{O?+*Up#ijP5OZ+D9diDzZT17DWAanpbLrK%`XshhY7;S|KLwziWk2Gwi@f zO%m~D5t1^Pa!Xt@K|jJB?Pw~$x2AdD)QX#$Nz{&Xl-HX4Y~U9Q`IkKYyDB)1DO0nv zyL-x0HM=}KzbDtk0m>rbXz_7M6lAANDa|mYk(VmqfXbJ3EkP zOAWuVs@JM_dW1i=+C(RTx+7HX;;ysk96-RW)t$uv3P(R zYHBch&Mq?ju@r<>b(8~F>~%L^9~5iJwCSLY!3lI*yq>D8$#Ww*i#7sGy%bF} zlbn$bBvXDyjW&H)uy}8rNN<%+&;IWX(wCLQ1fal0^pU<;rcjFQQ0M*Ax z8>}grUO#5IN4OW0sMt_nZUAattoLiaD;ZHWwen;ZAN@Q=C`N`6fA-RmMQ~lL~t!G01DQ!0I2$;mb zNod1$57ieOpy_jd27vY7C1=&oplj@xg;8Q9O48LuFo$iV%R>PoKi&KcP2cZZ*Rx#z$4y>riaHAeNQuI^E_Ywxx8 zo^x5r1^ZjX#-1)a-;nQyCKG&1iHl1~ypt-O#pkkZusW7)a{Y@tL8?`S>oDxI?H;B5 z(qD)yW{XKD`%JYnb(p*#E3TvMVl33AdE*N1LY*3K{HDxUukt55I@*jjuQE)|jbocE z9>*kEWy<=$jdL?}jBd^^s_)>gRL$=kf}2kp)5WxTul#Jfrn@?5cu+cLce^V}^sSSY zD$r5qvFIBQbyiG(9{NRg^3tL4Q||d`2~l#Z;r+@6GW17^O>W^n>Hi`Oxe7}QMy3ALTfi z6Y^5>8?Jif3T~3m`WX7=LBZqs|4Pw+qg@;tB-rm!$qw&tEY{hH-=N{=ztw~OXV_{1 zI^|MgQ;EZEsc603%@q9IRk3n9-H+sCTnux`ZN|r~Lv1+lLFBj}2*XuZ*BsnMe00qa z?&jLMX*S<5s>NZYVmPzZu-3%-Jh!+`@C2XCm)ijKD5}x_JtV$y4gnuGOTO~`#%Pk) zWjH0U_MWU`hMu&zJaN#TK(jpth1+m)g?urs^B$>(~!x==kL+T7Mrb+!!uW!oG# zMNuPB=dK&hSlLmYBx}7*Y2DalPrZ$cHtZOkTCl0Mv-M8zCGU}J^~tlf+U#rQ+qcni z9M%IcA0mWSA2X^!cZOt1 zzPFoTPKrU)w;>YAeUG)~b^vJ@5wm(c!GA=_>NDef(%0B*b8i>)7o{23P=Q=niWbR; zNwA~ptQDjpMWS8d>n*3;j(nA_RwnyZkfXmH%qZP>d7hT{sAQF`+VfjL0BTY_Ewc*- zl*cPic!-`YHURE2SUf=^Lzmpw$GQg4FUOh%PEvR+KZ~X+qp~#i7Jn%oL)rzDaO&_e zl3zv}I-dTJ=UqHz4$)ge(&D_mw{A^lRW#ure70PB2u&#A zs=XZq#KVCaLw4b+^+F=UDuYRJ{H0pPOtzKYFjd5a)~n^G{;5Bx1oj`s5hq?UiG&&i zBl6V8s#@{w>G3Hb9wvp?@on`vG@5|N_2IxajubwH{qr=^qr3Pl-J3@dsp5WJG0Om| z8ZXIr4Ik#=eYUgW-Fkh$jGxlIYrE|+TaK(&MI~7ofJVdD&5?WU(q6`5>>N4xb42Ou zttYJn3y0d8DQ9yUL9qL&H9@N<3zE=TDHs)vJR%g{XiaW6+1 zI=rQ~b=lUtgT;CyBU#LPC=e)6rs0(O^?ZKL0cFC{-|I4OWpHf2RN2nBS!&HGUiY^8 z0M|Y!FdvJK&o;>{(oJCaXYHx0U81opK4O&c0I=@soqg}ON7ZH43}Hc(Lu+qe$g`AX z%3?l)o}ytCA!ypmE41w3$3k(^>&{&;LK!v<$zpmY#eZ|Y4!#;p;i zDGU_aH{<~`U$9_@?icw11nQ05Q!wDNxY-XNJTsg0%b#F-q_wBQ(0cmx4-cpLOpFaz zTbiRJexy97W< zwt`0(jST)wXμo(7;fgH+^`eyfeP?4%MR8bEZ>lqOmzu5qUk7!_`$AD>jtTq|vB zCbw$+<@lxY)Oq#kWW}vkN0SHOYYqjnk*n*hHc_!2C_8O=jQfthcE?Z(+;PvLMyXa- zIDxARue^u&hY?aYX)9P|Dilu^Ele}dhHq^6Bqx(%(573>mDOi;nz%hl3Q45C?8k25 z-$FTE;naD~e44zFsRtt&PC}dp({K*6!x(JSl3U8p8Yc^6hO3P!Q2JmAuTa)l9P;dK zitsv{X-SK|(&~xZm4g&j5?`0v+^1zhPbm{o+T79&3dR37pW2j{1)LMXI%n%(r3B#e z&fwTt^gaS9ub_qHVdi`<8Y8p{_Kk6CH@`JyuuqbdTy6D3tqjXD=r!lV1K0Z`_FWRc zFUYe;><_|*ADVE(GZ7Hw9Wzl&L;7q%eMnxHVeC}n&f02czQT0>DV-wz^JBu{Vy?IK z*@(Nd4Q7wM9qQA)#v;^zAPTJ$m3<-F1BGYmb?vUNSn{k>gXR%yHyIwAVF4+*Y((E+ zOu1Q0n&IP=l+H>;;uQ9+)G*zBE>}5+z=?!*U-od%)^v}a%%)r|5~FyF&>jPV?cNL) zO|9PMA7vJ+6(UJJroFaemA}w^U8&u>kciCqmv78EUt^K92jg=ob#(&P2f*{y3&(oh z$bPluhtu1>{e3~R#zOFkI++wO=FbG3eVDe)JxqxY5(e>iGEt}abyaV{R-(dE`&?6_ z9o~mh&l!#5@|SOPOr;YI5Xj<=!yrAf;-bv|2oYgyQ(Be3?2M>M3xcts|5oAa>hcaA z`Wi__D?LX>S`)mfWo&iKI8qY8Xng3XJGRRuwLGPVZ7;>Wwv;=qqLceLypB>U_}9-R z6VUB>M|wB3uRpS2Ufbl*ip8~TyEdn8{aT%qm;?gCk1R^&f_m&%z3*0y%EtTsbInf* z86V}Qc6#w2zP zV3zvm+JihD=J@j}NQXYH(9I9uH zs^j3mk1Ty$T9rJ&HXoWPj?+-%v5W|wWZ|vG*09oQ#UZ{M)L)7h6PV}GQrG9|jg@T( z#xvtfu4e=Nd-X_W!OimbfHfotNFNxJYn2jLYXycyXL$Vs;qq@2x`jG&FeW%7Pa)$l z6HGd04+9k(dvG6O@DI>PuJ;cOdG>ET&Y`KVlC24RQ&BME;^=@8o%j1K4Hv>{6=eG7>#FWijjHCB3ZjJa-lxG;G@ zT>513OI?I7a_Jx3^~Yao5=9?Qb|Ukg%4dntQ1Y}agK<#m{U?0rmWu{`4@Y3iFNgA9 z5roD)fkkR`nIM0cu>UgKi{g-vscUofc(bMGA$sA=PZs}|(qF{C}%vHWHD);!liVzSv4_|!s zJkWpW8(y{A_y|WY(61bi+!)3GGTlC`n#`-A8yEz>s1H9n+n#~M6p4aj1ca_t(ZTo`z(4rr z|C1<*S$)uF^4K5T=;x#u=)VS%x&(Pnn+-m{p^a^19RlsBCk{dxf(|Q-Tw3*fP$2&D zJ(N#5a(md(OiVTE^`>8ty0!_Fi?3L1mQB%xjWTf7ZGnr_cTzj}n)w3Hy#Ad<1Yc=E zjd?&oNN|966yslzw!<5DeHaQh<+;`ZTD%MTkXv-GLrvXeKS!6y_j#%zk>`Bq4w4ip zg}B0erIw<_j2K(^zY`m7sZy7WKtFey^rhY}6gqruZl=>EG5gkZE1lWRWN&(ve^LoU z{1!RfgTa7)58tM~s;Zo!!_C9haI^lQ!63ko(XZS1SD2$K(O&9MdOEPK&ZG^aQl%8y zZ-WBJQs$=QNVoJUvSPjncCL9pF~i%C*&W7F)5<; z7M(HN2dBB~iETRVd`S}^(%jRaE!mC`0jJ{h{8;1GRyD z2--g|yi$Q2bvG;Y7j$GIU3&JZdOj=Jsoqw1!ezAF;-)-4yPN31;BS5GxpGn?MWYO@ z#%u8nRj&Oz_`=b-mPsQPXU=l%Ym4{W)3!BDK<9Rj>^)6*uyW29MJ%i})A#3Qxj!}8 zL&S4nkIXks(|PEk#J60SWwUxkA<)eSwj-)9*5dgaKg%h6=n_b>ltN@scDZ$qSh_dq z=jjWCmG#v5j&ANdr2FDoi=hZQArUcy6p8DXexCX^C#k9q(iol7C0?p%ZAq1Sdw1K{ zieZ)(0N}7y6YgV$$tk6X+r-RCT_?MmoFqLCYs-`ZJCGR9I<0ct zP}$IoB$Ug@NBf+%W@5b6He2XO}f-= zbfRoBwre_&f35OBR^Q(jV6Nf}xcfS5J17dx>MWHnDhuJSr3qiooBrK4{Bw|v2x-~# z+AXZW%@mXr=|fRav0MV+4?hhzUBfZ1qX(VCFQJQH0pbNx3O8}qYvs6Kv7(pc`@EHq z$QSt$X|8^>=cz}k_dG~QI}f?IFM;kW4yLnL-9U;p+Z0B$> z5h)BK8n9o4o(|2+z_13OZVkMW<^7wsOo=){Nwgtyfd=e_Eg5EE?MI*_(0|n<|J+9O z$wF0CHAhbP9S!atO_kT$5s@o0_H+@IR#~Dw;h`)ZY|*&z4}rQB5$iLGQ;8_?y4}dlmh4`Q z+W)~z(Dv&jdy{VV`W9<5zZhQ;zuh&K&2bsaX#&4y?j+kkB1|_pB=_TfiEg|*sGC)_ zwosw`^Zo>1MxQ1bjJxpKB2cDjvNXD(hNso#Gn*|<@!!}C(5Fw${T?CZ&9auW_( zARB`pf*~wLYG!%T1OaDE`Yj#ECL0Kg_B{ggU2iGZ%g*E62o+`TJgkNweYx$;_j_q5 z+Bbp%+7AtD^q~U3VJPl7;AM!sBMf6A64OJsplpI8V`mx#w$Z0cBVJ>XQu@%RSY)}9 zj>WU6TrC{eOtk4YgA>WUe5l^RhwAC*yc>akor0-WS?6s^y@`kk|nI#YFrU4uHhq4CO&s0~HWY3xd zUb~xUzii(yM)Awd6%I-q{_GAlm0lie~}(0phAgZhzp<<2mH^FTfSpJouWjUsKMJMazK!rffb(pee5 z4yb-rO6E>ZV2~g||G?|V?c=}xhnE;2d_VsiKe5p3*8PbF(!8FNjg5_%*dG}ZWinP* zt+Dhz`-dnD!&s6T^#pf(Ey#jTpPf!-5a0;M`Nz0J9$gRr)KmZ7!lZ^`{o? z4i9mvmhkX!VIt)4KEa%y&HPYFoY_raJaz21e}gJu1NxX*)FD?^S7kFe6H-!AN(V<3 z_a+6!OkorxiApJ~MLwMYnV#Z0KKUY$k&zWEQ6`P>aC0A!Z%-kG2eyOA#ru8;%s&WG z_sjM#(7ZS()&ON_b$ky`PqUb5Q|6Ns+FY5hP{`a)=&AB|0fISd)aaoL_j%BZ{JG#k zX?P%E&=W%8ihqMuz7j+ry4@X)o8!}bt~&t$4TRDYIxQ24XkAVUFIyr|Oz}*$pg~ZP zKL#Wk-~9goaED_57l8Xz?sEd;in;-P0hL=H}G5HT~98;Eag2pOy@KvX_0S9Lor5Kpf(; zmG7FHjRCOT+dNA@Ep9k<6LBrVC6^&hbad3XKRdo#gQq_FI^&VU=mw#IhsfR`ecz;h zp&hjSga~*vpq7bA*oXZ4^Z-Pb6m;{1uy3Ky;?6gP*wUhI4eI6UEgnIR4HvL6;Lp*n zHsuq>tDNq8>OCDmZ=~6BBc7+M5Y1Tn)()KW_E}@i<=R&{rCNbgp*0Qg6T#gz@du~5 zr!UU60DOHY+OA)254KJ9pMV+1+wLU|zpj*2(%Z(Wp(=9qoozcrk zAmmaJH6|WUIMJu%>EJNa+g)yh4 z*6U-W5Q&a^io(h=m+Qn<{2Sld17pZ50e^u`WEPkAaq|G|4$9ol zh^^w&>?LYj5-S-0W%dKlD`+-5C{G>c2XGdTZTXv_(*nqoPGfd+>-#l1B>_dI9J4ScK#8BV-mE{As*^>mG>0j{ zEse?KA#ENWTDmX%tJ8T*`{vek-!rWPnu4(t{ckCbw$1;Bu>CpGdpm{&`8?aVmZh_l zeqVupgQ_MNGTOF#cX2n|0Y9QkvTm&p?Y1{!uJ0Me#C6-X756`vjIv`~zojo%tj~_Q z-yzUY6-2h1w04+wQkvctld!OhnBiL;&AFN=y~LQ!Vt$c(e!5Bw9C6NUPZQs&*yW;I zWUt1KqrC2YU=7wWv52w%(W4z#h*)&m{Q$}Gzozg!HLSYDR{V-f&Y%Ae@Hj;$RkT=O z@jDa?`*1qM&1!YW)m-K_N?Q5ay|flbg=?Duex~B(L1sxwXPSJh1%-w zxIOWE%ai8ngyoZO-z;IgqwAuNit}096m)y3C+kVtC!M@6j!E9NK0G3#y|vaJ+`g? z!R&ja#jN+;vp5vpLg=HBvF(rU<1Yy#NuTP&@LDb7M~>l+J67o#D*9tM>WysH+uD|o zArY73mt8J^oAPTj$IOMgFw0-{OpoQkj~?|YFt#wtahS+XCZ_iCuii8ne$0T5(~(tX zpvBv}PM;_fn?T}4SP+^lv$}MbmC%;#T&E=+O}iIam*a7>^X6*5ACCO;yohIlGdY*_ zD6VD}cO1kXfAJ#6^9|+kdzFYxTf4fptyO7UW14%u04j^Kke|&6%`(i}dQ1oi;My|p$;F(` zQn*-$mDQ8GAML#9Vi4J4ODCW%1}YJmQLr&Q`@sTIuze3^bcQ691RVdDAf5*TgIZX6 z3D6A##V(fYDqUm{pI zExx!pC1dl^pCw+10a{!yRv3ed0PnKbO}#%f1QC{PF%)~RCtt8OF%s*$L8K2xr{l8g zk=Gj8E?vd){jZ~5c;e;9DP#Hk;*`z?z{U0`8x3}qRq6ECAaR2KGT`5As?MLg987zT zZ0RW<{GcT6!8tXtnAqDTpUJa^$(jJDRu?J#SiIVW2fxpZbQC>gn?}p@UI}N!%)^}- z3hsD4Q&C$lr}4b1omj!SC71{`Q)^$^Jg^=(-}1&mo?cV5S_D}$wA@_mM6lwxgY{I^ zJd$0z<6v5lFbNbPz{?FJ&fyESEu<}g9w2u`w_%6oZLqpDP- z>Uw$)IBgx(fdGjox1)h{BnMwu_yVvA8_T1zeaonGAvveMgFFmrY?Hk?o~TR8pMTBec{7Poq6v%TZj{U&_(l^c!qG!MBmFEv~Fnob7&May0I;G!OnmfnzNpr=&<^|;h^niteBq^5X)+K znO&nVJ@9^)nxfF|X6ed}> zYuPyD<%IvN9HU-#LCjI0usK+dkCas(-S)?!L$=eKLQJ!9NC7POEi?|Xy*IjTbkW>% zTR3B}my1@F-Z@T1mQrA6`XYe*z~z-WuZqhAw%o1ybT1P?vcBjalu>s4E_=p6wA5j~ z*xAKe#%MsCVcQ)#oBZPERB@!&?98cMGRbxfUJGNKFZO#zM3pM~wE@Eb8G2VQ^I++i z8g<2DbEZF2Y3(@J`$VJFV}T%g{+oxQMig3+g2tzNz>T!0bI)^09%#OZSaFrs{PK$f zFQai9zn+V4TOMYV2CWUHsg<2KTf7q+Kp-%@{4DlXf-*)Yf$?_+8!fl|EOg^Gxe@a7 zf5&^J&~VHzNDv^0oOZbKh$!;RybMIQ1}GKlIp|v81B^&&Y5S!4sGljl0{5Lgw+gIm zs`7O>_8R1yy(_S*H?lbGoq(ph7JAWpo#3fYmyeQWepLrwt5+eDV*v?WGXh1>5{Abr z3s6aJkU@hwFWg3_R`arnELXq#w#;{Xxlp{_vm>JFE=W2J&_LvfzA|Q+Oh+dxP`Gz+ zE!tbT>tv)6pWodjU|fQz#;3;ixq4B)Ep;55?Bk~dSeAj-H-60Xu>OL_ zc$z19sxRxQ#mfZocvX(qKZhAJ^sdB9@2o5$&Uj7U+P*ld)?d%%UsYf|jmO4}48PHL zG^8zhaQ|C0LVp-h!k9-(kaBdGK6eJoabJMZtIfn@2HW>pYoB#$vHXS~G>YY-bN~Q7^m^#JQm4H>gJ;bR+1x|vzwMB9BdhCwoJcy#vPJ!#62{`DZ zYk6mC&8TipT;PPp+ls!xWr%#Or$-M3v07b4ySD%2<)Xv6F5;_-ThBhtB@GF3EE7OK9zy}Q7z-h>o;EY*l(Ubtm*5TLfb}kEF7uI9YyiYmfDb4C` zN{=cU+!L7Fj94y1B~=wdBnSnCcUL$)gG=a3N^ssHyx~nNMn6_EIWbiA^9^0H_N~g* z?>Zc8X-^_~z#Z~05cQ#_4aNJ30qY9O4s*@kU|e3yd@gDy0RUwb^hVc#Oa7ejU{qv@ z1ayiw3c37uP(>|L+znej$}B;(|A&Q1Xr{HHHtEhFy_Y#?W<7H_-Yrkh z(rlJBM=K4!*R~QnnKZlJv?*C?;`EhND?jQeWR=FP&;y=d826|~pKECuFG4O44YmPQ z8>4YoS{VI1Qc%{1r42d>ZjB)JylWyg^lH!dRyEhWAFzfC1(kAhsFECtF%Ehg1hF|R zdpKQJ!nDE%-m;C`R(zGy5|ZFfR;>P536O2Hspylk59pvo&NbTJ1hblCHSzJ)-h(Bd zrOSu4SHxGvhDd+XO)J*Z$yl{gTh(_Y;?PDu{c2xNa=%|a<;cVW&KR$F{|uLyr##m` zFAiu{G1Jgu-%X;bbPIfdLv@x}kxMw$ng8AeY^c@-Un*M>(SSn-&8{M^Thse3<7Oo~ zMGQfy)mkPJ&raTw?lLl}K~XYRMkX#-vbJC(^mfQ%GMqWc!gJ!zQ2uq+Mw_S2i{APi zPJYHmED222+~3>SQ{5u*O-;$W?-1=a!)mT?Xb&X%znr3zxxpnW^+uN|O|I8o!U4^E z@=68ozP)cjOaS1OWN1IO-}8y|iGkE1>Xg&fUPBzs2(_}a(hFVgf`PTX*v_)9n5I9W zap)*Y6Eh$y*;H!fhnS|&buV;6b;??^N3fX&=RqPO^1p0>w>i`z?ZV`Q{dK%3{IAC(1hY%KLHXo(9lL9O$lTJ>~^Y#8t5^c%Q5=bA+KZD+sM zQCt;axh?Ke8p{!N;$J@&+g?FRo&BFOZ(}kYj=^;rEQUQXg)F}tNx4gze;DOawd_XN zP1ym~1D_^iR-HYTWy5tz``HuDXYx<(%DLWc23J}tFu6zrckj9IwM+I)ScIpe-eTsO zbB{A}lkA2)XW98pd41QKAZNz>L_o;D!B#sbSEa4>mvLHPBz$W-|9z?2N|V{vK3C%R zx%g-!Iod^Td$Y8l;r@%@XG>T1H4+a*}FRyg@X3R}e~ zj0yxBP3h0qe6uot7N@z-EL#qzw_QsZpUO(WtO)skI~a}}2s`twa60yP-!kptKCx@6pZ-PJ;vS{!Z#&i3CbaNXrzsV_UQ zAC+%dm@=C1D*EE#PBklPgL!hsl z^BRt~6m|$ONaJSa=e-we90S!K2Lk`Mv_lQ}v_G#N#LHu3LgZ-XoRoFalUsgcupq;I zWUE>SE&sxMpV+N_txG*p@uzs&2% zmaRS8%&2ZUHN^F-SlpX#p|rkV4Efj(7r~4sOCMSmg)FS^{T&-lH?OJ$gGVU|L+JG5*mYdc?^V;j<}Cy%A8=Q;?rpT|bPfiP{Chnprc z9j|&9506_bAxeq0nkm2E8cBe#UvEw1-HOY$|083OpTQsK9v9q8+k&;&^xePmyOWdq zVs@If213B}COMO$xPsc&852P7m8(}c2s5ik-L>!nKHNFWb+SbxyX|byhPR#3%<)|N z{qAgzc}hprh_BW2)mOH%dK6#kob%1?l*E0HRc~!>Poe$0#W=6U{<^JMLs>`reW6|h z1~nKz;ia$pK-2i6wm`t5B*E?N%2{a7-0kC*^*R1%=>sg&xej;L?FOG8q+((HWnX#F z0z^laOo~g}bp+gSW3#1{isOY}H-=k9T1)fcUCw3=m~yJ;`B7hZ5X2i;qn&)r3GN)5 z_NmKe>y)po;&BL+DUTp^U`F((REg3 zYNbDB6VQtZa6Z*$)iZKhUaMa@;fk=NQ~TuedlUQ~L1P;TwtQ&Y$Z6m$R)o^&^gKS& zx%f-4iJQHoFS%Z*Wv-@rvaPG}Hm^FEb6ncHl`1LVK6Ua~?EK-8uYP@jr|N40?<$Am zj?6ZoZ;0=G0)X*o_`I{ZEDiB|^RPMK)o^6qI5FUhkMY-cuWHCJLVHPvk(YHfYm%SZK0QK4I?0RIX?!&P5Vsv% zwI#lAItbaO|HOBHYzT-dCCVQ9rO~Uf8>|0B82s5D27UfjR@cL@>t7Xgc^UtC>^~a; z)<0(TFo=@<5qE1&WIXegCy9GNmr)^=&1?|s30EqBlseD8H!C?e;~ z*1y#|I}x0J=<7RzSRPr#tDdy^PQWZ4mEyP)@bU3@a?2Cv7;tZdHzB?b_SdZdC1HDg z)8t}4c$cFk^tc<2T;lDml*w4X?+|us{?T zzm|KhS?~eW=z^s*^8!z|ovysa`;o}g&b-#jc(VMbxl|hB29zw1RU?N_ZyN`6BmGum zT6_+I@Gw%k;2_I8>!9NKf}y?m)`D8xq*Px}Lf+oE0RGg{3I};M@c&3)prH0qb7#Hv zq%!0!H3reB^vmYNR7`80`|~|41DgZ`x3@rxqxm3TNmmCr#1%Ga$7MyZj8;$KmL!5Get8FF zD-Iw1kN>y-!6g!|i7*nZ(Qn12Rn={WWz9V|S2FzznOg3zYgoc;zML~kubgGAJxzxV zYHz0wmuGFK?#rGP=hq*(9zcPLSl1s74&_G2D$lm(zduTVWPkE+PRL?!JZmxwc4y@Q zrZaWQhxM$ABpQ=BOf^FNlTrN}qz6Lqvr$2*cz|gfYTTgY$mD901PzU-jYgt#pREO- zRgLa)-6c-V{!P~G((12IN1iO$ARv-I&PB@`GOg~O`fvip1T$ABU5l%xGax;IMIBeI10f~3{QEif4_|i9OGso-vn)jWUF0G~_TbD<$Ws-xrT5MMqT2CHq~EZw{Vpf=xOe7cmfsZH6pNfNlQ zc0D!k$>imHamVX9lB`dEZ|C3Yez(|W(IPp5fc)T3+*u}JcihJMt9MKu+~++2K$ed> zt{4|PeXiA6Z}Y5k4}M&puGYP(GVUky#QM+lBF7Hx=YFS_>25moblQ$KSg)C2Yq7+7 z+Z!WMI`dVPAoS}yN?Evr1xNYwsrR<3^h5pL+)+BT?M_t()wl0SHmlE$tg#SD=e2pK z*{LMXBy=DzWaQRl%J22uUJrobLJzT?939%nHcOwl)hLLJx~{)>RfE0 z54-)OD4Xe${0;9&%XB}V!cC%EGk3|Pkp}T8`pI^WeARaMmFXFaIG}>Tzh&UFn~pS; zPi%lI6!UqWj3c^qhcOwQ!;~|`KXMT*zLpCmyMr8Oer1gc4$?IM#c8$)^3NhTAgo@0 zDjO`mVvdLf^2Zq_4j23mRa-G3E(%Lu4}mA+q-j|6JyGvc+4Us*P7WmWS#Kh zN{nzq?6yng9mP@_I=P!Xs%ONV^qshym_&`?P=hJgogo?A$v2cCdtR^oi=W)T;_1Af zH{6$ajFEG?MS4sdaIg_9kACX9O+Glis1q=Ixj)v@6(6JEMB|!|d*b%CNc&@YnNM(r zh}PWvVle3r`4u9Z3-ZX0-FFuf#4e^0c~$>iFsbcJpJb(zy*$S{XFsCtYJZz`4o&LJ zJT>LM2G?hrBi21f-x&}4q&ce>B-a*6Uq?P+27u7zM$E>xhynV*X3?xVHTr1aY@X#3 zV^EKtWW2G@X_+>=NoLXR0?mnD1+_VrbZ)}jt!>27*0$sIPrqiq?lM*ua#4~}(fjEo zd|SR%{zx97vId)wVUcTf8PAWsqda$&WH(R?yR6J z!$y{3H2VtD&Ih*1xOLTR=vec#Z|(?AR3B(Jds;qgwX=w|JyS^pe}qL-l(X%AR*Yrea>^$bba9UN!VX#@<+UdRzxn)yNE1`3)ykSG1LNlIEsj>V$149Iy~vn z1KXEB#dKIYOP568iQJexq)8K6qK!NTA~7=c_ZDX`Wqpn~3qzo9_L z2J6KW^+ZKA5BSzV$hb}{8X!sOw=B4B?J$@_jl^^+vTbpOvv%0!&o$?VaBF^nO(TYi z2X>0>9lSQ)*fTs^3~q+ix4;)tF$*Gx`7PMM+zNYY$dfsmBAU2?cE`{_>qX$ zLM}KYheBwRn#Kpm1C)-|VetrF)T4b}Z1?J*AQ%asAsi-(IVp-8RchZ9Hi5zA+e+0T zcL)~w!(GOKAi^ZN%O3AVKghoS;v0R=m>qGyd({YY1Om(DZfa?&`3L?3^wyO@9srMBk`IV_V{c}v14Z;}sv^iH)F^Ihj zX>yRi7DV#Kph@?lP-3xQVfFkcNA@w_MI3#!^!=3LGQG~@q6nhx%Yu?}_Q~w!w4x2} zaV0}(TxWKr?&f*TcF(0sA=1TJ zqJ{e;08lFQ;c$YJ3_CGqAUHvom!D%SFIKt3LR)8uCqTE*;|2CLcSKx7U{ie;r{Z^`Ey-Ff(#y zB+H;$f#$0^r=iSAS`^vlpkNQm>Z8X z#!su$u3cv3b=>ODCm&#$3(+i%fD}yp?dGfrt2zujt3bZ3fGej|gzeg&f7z;>Bd7#K z0;mkAsC|i+^xr-RK{c7THM?~85H>%)v^7ilb3k=&YbN0CARK0KVrypR`f-5OZp&d| zXDL>)*lNomVCNxbp3-8=fnnz$76xdx%h6-&Acn_$NJ)J*uxr>qNl3Bx z-oM+{_i<40)W3_`cS)FIEjyHi&*M@`iaoH~+x6!!r~|v2e+~)|2X?LAs|mY5%?nX> zD6MT05X7oa>1nQMmeQ7x^=!%s%EOZL! zm@cT%=`NHmD2|a6uPb^niZ@VOp4$b^mV5rjG{T7VfmBN!A|{0Hc6upoIQ7m!dwX;g z+9s3xzP3UJ%2x-;{YKP0fOyS_+Sjb{nX9>!qKAD@OSa9m;}!Lh*N?}7i)=DiicG+X zQKEpsknpm**#Vm5MnAfpN3=;xDKPoIivf|A}#R=>7)_~SBN2XsfyBF@G1WGIJs7}{0!r%f& z^BdE6O4H&WLZrn(o>ti{s%tcjl5!lkyURTBH1mSmCMP~ z(t#0k#XwUY08{Fy_4m;*@$fjTYWpfjPT4t5mFWX2gqpgcp_6Ils`2Uio-R2Se^XmV zsvBN#Du>jJs4Y{mj|(8Yj&~uf8oOs32j%q*mC-*?mrbyteivHWF?7Q8f^<4yp%G#F zMk8Y!I7{4Zp1Z68jMY(s!3+0Yb}K%wU-&Z{p*ynfnN33(%EacDY?C6A^$_;M4gh>8-LJf)1`=xFsPf(IcLS%Z9si=b@{lih*=^E0H^3r;8!Fqfbj%)= zTSxTcm(_iCmx?yunsT>s`3sLl2qTNztnH0vJEY+TZ0_&f*G81_6}eo6c_#FBu0Xer!n@Y2VeNi*Sx#`Xsd4EQZGOsySqsNRZ#I zaiL11f$=uzSuc~WCov$$p*?wTL_E9w*L(8Rop`+fcCiKa*RNxG3LEqOftn+gEU-P+ z#x&H38LcN@;U4VdXbFTKpV{V@Xj15V6N?>+(#&BIk*ry1*Czte6(b)uyzbS~#zOsP z>wbXQ+pOBm&zFENFTp?eac9ckt$Rn*Vc>gxjk$CG3<^3B_9>;6rCp?V+YL6huTty_ z!HAT0L%5INO@Ed9EfGUGVMJIRK zG!@D38O;9Vddjc>vwE;OO@U_KPI(fOQ<16B%J5;cmc=3zqJqyUA>%=}2(bv+HIzNA zZxW|W3H3!~5}kX+b(zIwl19o-%(6!NDZ?#FD*3e6N={k-oHS;THIj`P&O%~QHf!T% zc^ywmEhz(Jrgra3N-Kv^%8p)K=NB*%E2(WaWN~xglnh0R3xrD5mf4V$uu1HV(Znp| z=A9^?j5=AH{2saLFkV(cOr&C4vH;^JPy6NLLDzYO3x+vCOv@;1rj_b=mq>55&J2Ir zw>leqta@&v-QiZ)JOj>jN-{W8L9Q?35b{~FwI4YJ5(?(avKsM%Y+N;H%Pj9 zK|}9=n6@lSrWm{pk-%)3(=+rZs_DkH*q%=&!7(0)cY(H_!p6RWTRDDY53R?Don4H|t* zl2-W%W^`-X?Slnq!T!M_vANQT9*b^*taEb>WO{zl&vu} z6XUyC!SkW10PdR!Z=}<7d?DlGDApc@l-JqRQMi4*;LZIO`yx1>X?!-aIqZPsSqR>^ z-tiLSQuBM6fb0u%MWr^*s_N@}<Ez6-pj_i)!MenMO40XT%-Pc~>rj2Ss!T z3Kb{-CPgK55fyCjP9b;u>8Fdj;NwCvF&-badT_BTGISMW!v)Lxd2ndpS$mFr)Yk&L zPt`m5w^M!(lc!qkPlm*Uh5N9rcV(nyr4NcF(WWym!dV;RZ^E%#G0%QB?z5zc;`^LFTHxpNkT!B z{O-@tM+5;W3<3f9&(!?$$8M?TYUAiYW1weXYGh!jYe-IRsH+RT@$nH8#31GKnGGpA z1@x0dAQt9c4Ff$8!$8+%2tG;wQ>=-v;BS62=dW*Fa7p@LvG2lIm{$pyn7zW7m~&x5 z(gt0;FP>UqQ@;?DXD9Dwo9_o7H#u)k zM%j-h(7BP_$0EwB&MY-9hS)B_`QqizjzoC~WU2FLihoc(EI!MF0)>KnrF@U48PEg(C6xjOm0xkIUV0b7okLF8cfz#{!Nhw}uxf8qIHPBgns zb~AIkM@#%p>F-#Z@W{a8kbh*9QdcbTu2p{v)O+1xbc6cOL^pT9E-kr)%!4GgXms4>+MM#+i~1V=oq~juyXiJuBmoHSzDZ zZah8@@*GwH_vXw%_{M_44t$)V2`5oSMfefXXSmpP{tVvJ+Kua&AbYVyM6x^zRy#KF zH7cqum8XJJqj$RmH1$WMn#uA{pwTTt?%5HZsM9+9)-hy;6r_zW`TO-Btdn-ZR>QNRguT27)NZ!IO4qe0`0eu6T7Atq=4LYBM32fnWQ+cLy7du1IIlMd zF0B`;v3XO9n#1kf@@Fz7xOGN)=+UdqwjE7IzwD() z{3MtmoPQ6>RAY%=^}8tlBmoiwZv{=p2XrOq|EugOpyF7THUx(d2<{#v0hYyC+}+*X zon2f*fI!fo!Civ8LvRAYAp|G5yZfKyT5{jL@4UayX_oG;@2jewnVl)RdKg8Ok|Ls8 zB8QC^?+TtcOzfy>bJYT2DaL;k0lF?ADF>&^yOp=fWx?np=u{E+Ra%SOU_XTO+0J%X zx-|wvK5{PTz^N}mnRE7?F{38wXw?-djeaCqdN$M3=*LQFjj#SV*BS#9r2gQd4BsB; z_y{haHrs5PK*n*S;}U;;-)G^F`S5ag#jvc z$v~t~*x@^DCy6hLks8)L6Xjjy5yVT@>T&hbSmyEAIl<&1QQ?skNItl>T-abg%P2(U z?l;Sj@Bvt*Ft|>{{@$=x{SBGHM2sf0BZ!T?18bqt__*m0@Dy-91 z@`g)d2F7`6^2I`ESAihajz;LaacWDMzHmfNGYaaL7{RKH;EUWYb7PUr69(*!+c3v%r^XI1hVz+@D>+#k z`2w43n0loEjaAkj#O!7~Q-up8{^!lxZp~ltMB}+Ro*j9N@5?_~41xjN;AfzN=-R)*XIGeJzj zOH*+Q$CRv$!nKiLY{lC~4bbzP79M&v1Vc`0H!fEun-^7&#pCPJ4#z;BUbDXI*)+Hk z8>ZG@aj#WQT?6gejk`CXMJ)j|#ijj%yQ7H18cg5>)n6PomF?liBGb4Fv9%lFfmph* z9gFF@`eK~0vrPii*MKrgIpc}w>ug^%QL&?~lq2K~VqlY7hqM%;EUaWVa2TA642w0L z8q@Ntk`@I_X81FsLx{>$`{Z*fHX!i242bDxJ1a@+n^y@2nsj0yY^*%HEN#Z8p-0)Y z`?rl#Ztm@d>S)LBmTJ2@)l1+{8;qY}gzZX{cbe41H}z*LcSoaMulObe7p9W~EQo3v z+=LHO1kXQoxx8|jMJ+9#kG9q^ZfhSWD3>Dy>N(Y*rN^HjDI=d*6|N1P+g(3(A7XXY zDf7L^n%YO1b(Ma+*qmN{pQ5ujk+ssPJFqBUc`%;WKQk*Zl+p zyl;b^H@zvsa@9lURjwF*Dj2H#eq-7qtqy@ps_rv9CB&+02u}kxi&ZVSsDE>lZ|Z6g*3$;+v(VixZt)l&^LU{S?I@u>sb| z4Kh8-+;u#qW}Y!VOAlzdD#au+q6kr$Q?%RFDJ6D|14;}gHhd)IEzn#{hWqwrkd`|x=SDe|`ABH`x^;bbNM8T8VZ zjWU%-^0d8)Q^tTkt0L02HCXj@L;&5|va9xBRx7WU$PrE`i~eT|kQol_F$+zTyivr0 z4lwPiTDQ2aDS$I136|>YQPL6|MIfy6I4cRb2*6&_^u`QwN(P54RF@g( zyY4R$9@37wuJ&$1?W#}VK5^Ciak&WRW`8A(#G3I?((-cws*pxzfLwdJAs}M5LE1%7 z2M&UelKTQ=g_}(VyZD(X=?J{fqH-N1sC@Ebr@h+u%VxX>@}bARl9<5OHJWHJe|tOn zk_%NlDLJc`pMt*D7d>5g*>oSZR&_PO@%aqzRvgDdEic(Jd}f={53D$PizhVQRO_9} zt}hMOqV*3i&vVU&Ud1m<+7hF@33ytBn7bTrWgcY^hVdp}=-f1JLla)1Os=Y+#yLjI zQd_PPsH z4;pOdg@uCpUcdiaFI!WH;rHNyhyU9TG8a=Pu%*2nF9nd1iGs}3&e-0>($1WhLRCeK zfs=xt51Gf_%*@i*6l7v=>}+dl2VwY*C;JAq1A~4W;-zqQvIE&0f-S)yJ40JjFbHA{ zvUf1G`vU^{SsL_1(QiF&HkNkQyc8A?hyw@!aCLQMbY)?*cQOY6xwyChKSuu$H8K8E z&cWHq=7*GtF~HQu^t%Qy0LTag{2}|@!vEE%@3KFeWN&Z(r=suTzZv~Q9WxUXE8w^G zAJXPdCMGujaH?R!^U~gi^{4Gdk{MMl0`{P)BcZ!eahijI0mJmxr z8wO)1Q$vWo6Q7}tshcI3j0fz~rp6Ee3p0}~kc&#l+0w>@ zfs2ia?RO=A5%QQAgZ{%J4iF17i0zy3AA{ee{=?ay`hGLh)a0AvcIFHw&Q3pE;8Rdx z0!pxO$pV>_e^>k;BEKSy-HpFR;e0G?e-r<`|2N@3FB&l98wVI-X-xK`A7W@^W6EG` z?`#L*rTBL4{dO>H4bA`TWcj_*-st=6{9V-HCrQ>n`dL7 z=07-$ExrMaA*N1$3<23$IVk{qJb+)$BEUag|9t=c7Ye*@RmH;D*2vD#(gqBG{P8QJ zgPr-FhN=pdPLmkFl9WhejwnHF&{IXB#-=7u0V}K=X)D;EsCdS$Sc2E&RN^_-w3hIA z24)ctnj6RZ58b}r=dErRTEkigP3KkTC;R3HZo~}O#|U9)hlhtBKdb2P+=Vl^xm_Fv zA3W#=()vhtbQ&9~d6rn9r?|e8$La~t>LCU*G<6KBwWXot7l+f2U|H#rl?#TL)B3=d zg&ijkZE~e;yiRQi_Lo*a`*6bWmZp?`Ybnx*vGhKS#7s}$Y&uTCaJ+pn85fc5g|Z)U z4QOW@`@LHCKt=qC?frmUK%+pn%x#O0G~p@gy{G!|j;H=1lw0JN;DyBxo#XDqK^I?F z(-STz4%5z+m!IC!IJhCMtgw&HcVTH|Tj{YWq^Ao?k0j$ml=k-6cE(gLwGsWS;{&*G z@ud7vW>i}`OeRDTQ`W{#QBlg&Z*DQ!v2rXc%vrpo!y#--v@A7Le#;y0z0jpR{p;$? zgOvhR=LtMv=j~~RLSI>%g@iOxDyWsBUDrl0bn zL_q7I3_s2_x!fI1#q7aUz1r8A@+2t<7l*!LN>I@G1U>b9nyEcjtYzwjyn)zVRn&P- zn})}xp|%&xx(5kTYeMgfaJgI&$ykVxyM!Fa(j{+%zcJq!WWxV|$Vr74$HC7-dKHA5 z${Ay|_-V2-+6)`tP?=jeR^w=0$j4T`T+{@=j1+5*DLS-k0v7~`f^p?5`J_le*6_5B zIpyF~9v^AadipCm>Z&`d^zaF$wTh6_8$!0S9=*UO2$`9HmahH7UbJR+YecTUeF=6= zz*Tq0emxTN9$u9aNywIJ#aZ#_fhOZDa6} zsEL*-W2(NnUWDkE8Q$wKnVvf982GFHPK16eA`NTmzt8@vQpe;B<)VL@xcQ#y* z9eVCaN8X$+ltEj*?WCyKWAmL}r7|v|w~BZ9@P(UgzXJ_8_WlLYKy$0Z0{G~t&^GTd z2cN_CW~Zl+3Uy|!Fh>NKy`;RRlhb#)uj?b8MZ7zZR!U9sC@qKG25Al}lkx$llj-A6w>GyobbY&+RZrHN%AX{uW8uR=MN?JEohg=dtS`Y=yH z7-)#GU*l~sy(yvjatay%XTyqPwg)4m zIe79!fYS#~8XGRo7W!DJ;JO3al#jzmJ9p`__5~-~hM$B)XOgP!ag_wVsyQZEv+U`} z{Dc5MNAZ`&E2;D93@S&%#89-E8V~@4+Gqmc0mbMV0dn zB)z(T-U0J{vX8!+<4Ik_p@DNr4-vd9qTgv=y!m1cIF%9#t;B>P3X~^cfPDCT^ooV- z!}ik55VpStzK`3Wte7^;-~{B3769#`MoTlecV8z_%Z=vUNh z4PIoZX#)!=Ei7>ORkJI6fge#tynrm0o~QO^${cp|z8SIFfhNvep8@R{P6Xo` zZ+WnP^9nlVDmTezxRTar0>bg6R4x847T+$`hh!8of|Olt2gGzVEgrrRKAcWfq8mnfa21wFc7b7GZYW<48yDZzYg8Yqmr3hsduUTu)p3)j*Q{T%l~C+20$omksn zVm^PSUYkS?&RgpyE~LoL(M~BDmDxmjsIBsemoN7xy0 z`E^k?hj4l2ZQEU)J5p|rHzWxOCUKhKGgcCQJ26~EmJuPaa_;-3%7oc{)t{T1-rA-u zVeA=FexjsnnurR$Tv9)}>^(L|C>ro*32-io9O(R{bY+PN_T!E7>?sNrR5Dc0A0m=N z5I*SU?^%4VHu+LCiW|tAdX_bEWhE)aOkDh4U=s0j4Eb9gYOUHDLdk=OCkW$M-53us z;$M*`LIv`ULek;cT>I(A97nY&Oacb4i55{~tBdt~EKB|P9GN0VEqX=yXr}jZx$uiG zJ>hx~cgr`3QubwB#?+)mbaF)=qz*UdYHR#VYfP`Juc{BI77c5j)s!_H1{`FPK9niA zcR|-HH@0>sPhXo!U1j(!tvs?7H;Avu-PMU4JX?!e`qa>rTzN56Y#pnPDT@`~JG(ag z{WX6$3y00_^+**1k%ecqP_K6TP;B)F8e=TBJgahjSeP!2o4^RGy}CvWdm+!i-k(dy z-|0t!o`w;@t!KA*zNS2n4iYmh^jVKK_J0e7ksQ`XymuQDf!p*yKqaCbsvY z8`c=jX4jR+1XF16xV3+dRjhEd-qwCQsRN8+%Tt$79s3-l8i_}ZW8)Z25pD8M^Tm5K z7N1oSt3w7lNu|tV=;un9)(%#Oa-jhH;m1*mAp`!BogF^Ad<_q!Z2l_zafFE)2uZ0= zHk$BDBgqNSd3uLQgivwnx?)*?3^}CjY{^>Xc7u(_)=#MA%dGGK{9bj1`(L$WoXCrTm2?x;_*mF$g%U>)}F7#|*G3TlfeU0;p zu92-15#2aAy`-G;_h-JMPgWse0J_LpHSRgNX-}WxZw62ByQr^-B-8-l-w!J%knQ#6 zA-iH`Fdkl7(QfTY+2V2rs5aD;99$`WT7LC;l=Z}a;u+mQQkr2yR{7 zX?PT`=(|2qblEm2+jo7hj)>yA~9RA1C@6+k$KClhX zQiM;wu{q4|#dD5JFy&lD6tAwrr`!>#5+g)LpTBQPT^j4;$S(O@kUhyX=( z`;^q`Iy)24PPTn^UyECZ3le?P5JYXtcQmV}C0xdvtf3v#xps|h-D?)^Xce4tc*ORozxH_awyg~|UvyJE+0HzbpmdDbC z-2@YWDb%H#QZRZvg61T%+t*;piG;iJ7@sdY^*3y&JC<(z95jV?mg?X{&0ShmC)}!* zGIW5{!Kehll0xWax+gY==Xs38Z7PMwBgv-=Q97jJaKrHIX!pgHR74|-+&j#Yn()s7+(iOU$IPJolM#9>g`^-C$ETJeD(-sHK0 zDNy~6K*iL#ucx{KQx`SrN&^K~s8i!ha*#Z@)srL$MJ=Z>cQz6s!VBLj_-1q2WP$$q z8GUJOS=Df{aN}nA>ID+ldyZTg{?uS}2}&q(FNvJ9@rxCg4JnEWui=a1_oEUW-kk=` z6OP9LUK@pUn(6Nfph>l?*Yhz8d*PSH7b4-Jkluc^t}Sco$#tN{YO*(O?tTY%>RA94 zPnFqtSYUWC5XJX2y{!FNhvOl_V&XZ*y|2lk3z&l5kM)8?MtZ|zJ9cUd#Ms;0!- zIJn_$Am9nuq~UJrGmyK$=v`q~kkxRAu0>)H5?3E_@{p{k`tbvJO0?u|EAAnwS-kSEhAK@AiKNj$nc4^Rt3{j1i~?W4E#>a z_d(V@3F@uOp$)0x0wsyE-U{Y=0~_FiuQT&%KuF(p&aO!qJEgMe*y9${fUm)CHhD7$ zUO1JV7MEsuCw&xqHZz4@%%BdFDuL1ocpD+eX=kd#vKat=G z)iq|5(j{J`=?QFty?XEZapq_NSrM9(dJc5fGaqH((jzt2Y6U{(?*>j|WaP(=8j9X$ zUSwqt@ah`C%N#l1+)0Vjz>YA;10I@|Elk9Tbvtidsim;JYE?bBc@w!5MYwo2q2`S? z+PObXpL>%5d6=B`M{x1$i}GNFacVC{hxoHlQx*U@C! zA6z;)*yLm}`f9XpkYDgo234qION8x0!A=0pVQGBGCiSqjOP(zhSVn8M5`Zg<( zHKnYZz-bX7TBg29))9K5lY_MZ6q( zsPWYT6kg>-NXYzlqxq#tcZ6doM)S-at5v(%CF3~}2%)zcYlZ9lLB@xW#Os6Y%A;#Q zmb@;Ff#P%fEi?y&{6}8!r2dlXu1d*K^86MQc|@w?eJ)BbuO7LxcNJD>%Ba$?fHUoo zalJ5(bUlRkKp3A?0iSp^#dw}OI=1@d>IgjASU87m0F{sHzEtBnlURQ^tnio{FPB&9 z>CWk!v5E}8zOC}r+?8URKvQDA!+*}u$nT}5ef7LJR)wt&HkCQ>!x1I;?tCBSil-q} z?-{+A?ZG~GWSfCc&&!}?x+b_Peobnyxcg3-s7~3%@BzM>^`)01sfK>6wGF=J1nX0d zy3b-_B4u?s#RpA!keG)Hv4fuEYijBT*7ggL7WTs#m713v9)|lb&Chx4B zj|I`mH+o&>2WiG6Q5pE5Q4qc$2Y#*X$~0}^I8`*V%WvAGP;tUSGhC{Nx&8deP;vs( z5{-m5dWekxrRvcwqMs^H)c&-Rqf0eVk#R9`7G2UP)~fXDiz=k^Hm9ih!=MGeK6bvc z36A}#_rny`$Kwnjo$<3!#)UEM49w)F`>jqv-|xPyvF zem076>$9Y!vbIcRb+IZI3Mmh_Mpv;j8#SUC7|+aot&S}lfdI{yDiW;1aNZkqn>a?V z3ogK)TV|4%7o{S3L$elmTkg!g(TXOF&5jh>BK*qdu1=qi50xH`kEFqvU(=Fq>E-V6 z^Yqzr$BwTi$h4DEk-f4IDhU%?VOo@n(cGt(R3Wwj&P+j%eMby!o6k#`toN>4td z?+`tOvML$Cb>&&c!aN>{B^db>jW?i!U(tZCW>WvomV?MiUs}pqo8ifn0sjkotwwWx zb~4~l-4ct>$CJUnb3(H3j8Yd8+g*^|ylL{dncZ@k;e1>f7J(nA z`E_!I3l4oDOx>7hcrDAhxn@bzUfW>&o!E@oQ&e(sx^1fXv7x3D5v>&&eO|`ghEc43 zC-YpY&*|XaQqZZh`E_v-S)ulXIbdx?AIjO(6YTZo^bl8Mb9FmX8;?yp34!L z%8O%ii%Hy`t(rP9%5&aV5!`!ktCDGE6TX&X$VFr{yu8R$nG3urOq zAvZtrc~+LmGqzd#+lYfCHe>am?mz+Q3z{hmuFp1Gmd{K$?F`cdGh;I8=%*(?VrlM0 z>F(^eao@?Y*tJs?VF=YL#hN$8d!mS7afsO+48N2SbHd*+X;xn3W-IcEV^_|-<1jr@ z9_gDh$n-sB-P%(GeYF5+j{!kxeq_{8$z)7h>tk->$fVi*gockBn8u0Sh$nO-YZs5@ z>9&!&lW1Qx!4x5rVw!t`P&i)hgpoKXrgE$#xVy3~rXy<ge$PR2^cv-JP1`eMSNt8GmoGm2@WLmhaU6E%lLQM*mxG zHPuj!@R}D+n&{PMy>#g9b_$iPB3wC_-6m}Saxp{7uPMteqa*PDxH>tSq;`lVo}`2 z&{rEGbeb*#2DDFY7bEcP-d+v~+v|UbJ~Dg!*e*Nc&7}rPBSz0E0!?9n|K{Yy zwZ%f)oo8(^Tb$AD%WIkhLX?H$S7O+Js+SQgTWg`~Is5k9XeKOMt}QwKVj_Z8iGc@S zL~-ntj=9p{+hLQf-(gS#v>)712xa+OZ4?Y-Xa#rA# zuvVRW=M^nkY4eynWJrWMEJI>q#Vac)bZ#eAPyJgIpxJ0AW5Fofury@iI03PYmf{<-24>OW5eH__=Cb%jM^2Qo@T> z;dwgiE$!xD*II(nR=x^;qIFQkLXxDz;)21!O01lPmo&A(M$rqty3$k*Nn7;u4-_;! z5PKeti?a8KkJ9x6FD|3kZg$6sre{slP~w-_v2*Kb$jQi@V}L#{_8?N+XOV7KC9S8N zz8lpfsc0>V0AHX&hn7!}qBRc1Yj!s(WTOi^SK|CpQAATYMnvEKeAIZx9J0EW{2^QV zS4ZX_(~h36dx_D=G3Gk$H(JxMQr4Wx;ww?r+YF`YG9F-Elyf>+aKe;4SFBo)cBpfJ||Byp(kL;g;sox167iMs?A5 zvBBpF-)@tJ!PPD|imh0WS`3;$>&fwBhpSE5FXG1F)AT-U1K8(UP@(BkBtV??>a zbhw2bC)uuuWvkUYDSBIqk``u8E+_G+1zmv!X8&UD@Gkg*s-K-dCEs9ElG@oe+mgTYG^zTax?YIV#N&ZUSZi5}bu`E!Ij^<|fb;NydW`^AZDd%)H*yViVKMVu zY{z;2Me@f7=FZK$Ns*M_xpP?plH5TVS76>OY`3sLcz=cLt|r8 zo8OjY0mjZwPQUNyhWT6S!O!c0ME^kJ;AC&^WC{iw89D*}9f|i3NHZIILx|~rLlOLw zK6?je2U}A+=l`d+?~wn$jSzc#oBxg?{Rh;KRbVhc$P!{}=m7qII{1B=+4-mG{I^tp zuqovGV)c(C@)j*^@C*puhyMe`%0)m_9vzb;C@3~Q2n*hVIp4lRPk^R!lClr_-dmk{ zb=AT0eZ>&wD~1Cp&#Uvdx z4oZtIJX0hIaA7;1R+jvx<9ouZy<{@w%9k?W`6znmc_u{|<*1!r0(*6il*-$FA%`n3 z%z-dT!nl4fKK|qn+`?=nDm!N$-SfJDa0R)`Qw7zl?YctrBh|OwWQ$?SE{;Avntcxl zG)1zlz(G-mrrOTy%epTw;I4-0IHELWQ;Hd9OXgv7Dvvr0@2zSKzJMRR*WXUQMxH_( zH}LMIS8k+Kkb5;7iiV5(CC--awe)^R*DjW~Ps$t(N%HhQ?}xH45m@(dmylY|+0R8q zp;o}HPW&MRbmez~);+6Or$Ir$EGX(%+S$ysdD)c7$QuMCOhMjbG%}m7y3?uYdDobO z;JRjeW{8dV@%x|D@C>Y`x)~SE(AtIDc3uTv&JubiB-D5~R@_9d6{@|!!;jQCsqi}n zcZZz4os&B>u#Z=G;zMg=NYfP3V^GNrw{yUVLU4^>#7v=>e8etn8sVSAsBL7XMO!Ii zm*=cGmK;2syLMKqJ44T0;BwI7q3Uo!%nJ+s_GNv^rqIihgZfJx{quP}!O49%6>_w) z_g8^3Kw<1_`8U?IutPTiChIREv}MG|`VqWnJ`5`<*ar5Y%hV?Bns$lfZQSq3Qk(d- zIPV~$?i1la-3&+@5?Qorno5wz`MZ9e`TW}7VQlmw!=!*K=1xY88Vp?i1cE7NeaarG zm~{J;a_-FFx>ZOReMGD#WW&^^MY{OTlYpfv^rU!c2eGI+$`3vew<*d^fg2oeS>I^m z)HlIgKt#K(9G#S#f9i-F1ncz(ali{o;Dz!Vrn?AyGx^01DnlnUAWs{`Dto`10;R?~ zn(nc*-SCI4?8sDY3w$y=W^x3;XRVU^R@y=2aTWRx)(VrfYd5h1EyGw~OMV$m69(-@ zjBQ9P63Z+12#-y-JPhpWhOwXwD3HoU?$$?pO=kGBP|7&m!gJT)#5c7g8(%H^OEwofR|w;Vu$Bz_r6H$o+{`}X>Vrp|%PcF5G}Y}ibMh78nM zGHxZOnXwirL3eB=WaO?urnW0yKeVjP+C{Ta`&pBRLz|D&h|sS3<%njqh`qeKXHPz4 zUL{#}(n#-U+;t7^zU&JN$$NCZEBNeXL$2UMeC`CAkTWD9TyUa0Ik1*lsQIQv#)=)I^qR?!E#yj~4mMp_?=OK4<%h5~(~3Q|#b?e_}# zU2`pLtS&yQA>~>N?G(q)17!*YW{*6!Vn|)z49E6V>5Du(g_S*gPt`_3AQRGftRI&` z`Th=A8JZha0tN4RDjP!f@eSJdw7C&d9BLAGe0FjJ(#=t_9 z#Tm0VX5n2*d^?~qn(9@brhf^FPS(+A_CQp=n*Doj2&!x*~G{+>p;6R=zRj=v&%sGnAzGQB2 z+_+wQ*GQW0%nn|=KwV=lCt9WQTO2M~s+MBAFm7WEjqhoLUtm@3S^Arloa2FeTn|YR zwbd5yL2rchF)A5Byic@|?Ams{=x3oSp|kS4(_C7opHt^#yIJTBIP)HbZt_~FhRn>~ z$vZ3eb0Q#-PsBUf?=b<=h6&&dic33@oweC9GNO~n!&FhsGo%@S_uNA{*VF2?X(4%(iJNw}wxpJtAK zhHzY($*B>?qK?NRP*!|T8|hs z)c4Bp3qtl=Rj@RMIDdP#0sxtQDlA;THJQ0sIoX6*fUHa`-}AEmPF?{j86+!$v3&Afe;D55`r-ano{#TZze_;75;`nzK(qC9`tN)YbKO&I-b%Ip>f$Zmq lzaxMCF2()@N#6+j&-YFRX*l@r0^e!C-;~lef4hF5{tt-Z$~*u7 diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/FRAME_CLK.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; library lpp; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- ---TDODO => Clean Enable pulse FSM library IEEE; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.all; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/Top_LCD.vhd @@ -16,7 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd b/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd --- a/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +++ b/lib/lpp/amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +-------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd --- a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd +++ b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/FILTER.vhd b/lib/lpp/dsp/iir_filter/FILTER.vhd --- a/lib/lpp/dsp/iir_filter/FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/FILTER.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd --- a/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/FILTER_RAM_CTRLR.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd --- a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd +++ b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd --- a/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/FilterCTRLR.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd @@ -16,10 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/RAM.vhd b/lib/lpp/dsp/iir_filter/RAM.vhd --- a/lib/lpp/dsp/iir_filter/RAM.vhd +++ b/lib/lpp/dsp/iir_filter/RAM.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/RAM_CEL.vhd b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd --- a/lib/lpp/dsp/iir_filter/RAM_CEL.vhd +++ b/lib/lpp/dsp/iir_filter/RAM_CEL.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; diff --git a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd --- a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd +++ b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd --- a/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd +++ b/lib/lpp/dsp/iir_filter/TestbenshMAC.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/dsp/iir_filter/iir_filter.vhd b/lib/lpp/dsp/iir_filter/iir_filter.vhd --- a/lib/lpp/dsp/iir_filter/iir_filter.vhd +++ b/lib/lpp/dsp/iir_filter/iir_filter.vhd @@ -16,7 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; diff --git a/lib/lpp/general_purpose/ADDRcntr.vhd b/lib/lpp/general_purpose/ADDRcntr.vhd --- a/lib/lpp/general_purpose/ADDRcntr.vhd +++ b/lib/lpp/general_purpose/ADDRcntr.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/ALU.vhd b/lib/lpp/general_purpose/ALU.vhd --- a/lib/lpp/general_purpose/ALU.vhd +++ b/lib/lpp/general_purpose/ALU.vhd @@ -16,9 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Adder.vhd b/lib/lpp/general_purpose/Adder.vhd --- a/lib/lpp/general_purpose/Adder.vhd +++ b/lib/lpp/general_purpose/Adder.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Clk_divider.vhd b/lib/lpp/general_purpose/Clk_divider.vhd --- a/lib/lpp/general_purpose/Clk_divider.vhd +++ b/lib/lpp/general_purpose/Clk_divider.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/lib/lpp/general_purpose/MAC.vhd b/lib/lpp/general_purpose/MAC.vhd --- a/lib/lpp/general_purpose/MAC.vhd +++ b/lib/lpp/general_purpose/MAC.vhd @@ -3,6 +3,22 @@ -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS -- -- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_CONTROLER.vhd b/lib/lpp/general_purpose/MAC_CONTROLER.vhd --- a/lib/lpp/general_purpose/MAC_CONTROLER.vhd +++ b/lib/lpp/general_purpose/MAC_CONTROLER.vhd @@ -16,7 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_MUX.vhd b/lib/lpp/general_purpose/MAC_MUX.vhd --- a/lib/lpp/general_purpose/MAC_MUX.vhd +++ b/lib/lpp/general_purpose/MAC_MUX.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_MUX2.vhd b/lib/lpp/general_purpose/MAC_MUX2.vhd --- a/lib/lpp/general_purpose/MAC_MUX2.vhd +++ b/lib/lpp/general_purpose/MAC_MUX2.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MAC_REG.vhd b/lib/lpp/general_purpose/MAC_REG.vhd --- a/lib/lpp/general_purpose/MAC_REG.vhd +++ b/lib/lpp/general_purpose/MAC_REG.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/MUX2.vhd b/lib/lpp/general_purpose/MUX2.vhd --- a/lib/lpp/general_purpose/MUX2.vhd +++ b/lib/lpp/general_purpose/MUX2.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Multiplier.vhd b/lib/lpp/general_purpose/Multiplier.vhd --- a/lib/lpp/general_purpose/Multiplier.vhd +++ b/lib/lpp/general_purpose/Multiplier.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/REG.vhd b/lib/lpp/general_purpose/REG.vhd --- a/lib/lpp/general_purpose/REG.vhd +++ b/lib/lpp/general_purpose/REG.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/Shifter.vhd b/lib/lpp/general_purpose/Shifter.vhd --- a/lib/lpp/general_purpose/Shifter.vhd +++ b/lib/lpp/general_purpose/Shifter.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/TestbenshALU.vhd b/lib/lpp/general_purpose/TestbenshALU.vhd --- a/lib/lpp/general_purpose/TestbenshALU.vhd +++ b/lib/lpp/general_purpose/TestbenshALU.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/general_purpose/general_purpose.vhd b/lib/lpp/general_purpose/general_purpose.vhd --- a/lib/lpp/general_purpose/general_purpose.vhd +++ b/lib/lpp/general_purpose/general_purpose.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; @@ -27,16 +30,13 @@ package general_purpose is component Clk_divider is generic(OSC_freqHz : integer := 50000000; - TargetFreq_Hz : integer := 50000); - Port ( clk : in STD_LOGIC; - reset : in STD_LOGIC; + TargetFreq_Hz : integer := 50000); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; clk_divided : out STD_LOGIC); end component; - - - component Adder is generic( Input_SZ_A : integer := 16; diff --git a/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd --- a/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd +++ b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library lpp; diff --git a/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd --- a/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd +++ b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library lpp; diff --git a/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd --- a/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd +++ b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library lpp; diff --git a/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd --- a/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd +++ b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; diff --git a/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd --- a/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd +++ b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; diff --git a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd --- a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd +++ b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --use ieee.numeric_std.all; diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd --- a/lib/lpp/lpp_amba/lpp_amba.vhd +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; diff --git a/lib/lpp/lpp_amba/vhdlsyn.txt b/lib/lpp/lpp_amba/vhdlsyn.txt --- a/lib/lpp/lpp_amba/vhdlsyn.txt +++ b/lib/lpp/lpp_amba/vhdlsyn.txt @@ -1,3 +1,4 @@ +apb_devices_list.vhd APB_MULTI_DIODE.vhd APB_MULTI_DIODE.vhd.orig APB_SIMPLE_DIODE.vhd diff --git a/lib/lpp/lpp_cna/APB_CNA.vhd b/lib/lpp/lpp_cna/APB_CNA.vhd --- a/lib/lpp/lpp_cna/APB_CNA.vhd +++ b/lib/lpp/lpp_cna/APB_CNA.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- APB_CNA.vhd library ieee; diff --git a/lib/lpp/lpp_cna/CNA_TabloC.vhd b/lib/lpp/lpp_cna/CNA_TabloC.vhd --- a/lib/lpp/lpp_cna/CNA_TabloC.vhd +++ b/lib/lpp/lpp_cna/CNA_TabloC.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- CNA_TabloC.vhd library IEEE; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_cna/Convertisseur_config.vhd b/lib/lpp/lpp_cna/Convertisseur_config.vhd --- a/lib/lpp/lpp_cna/Convertisseur_config.vhd +++ b/lib/lpp/lpp_cna/Convertisseur_config.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- Convertisseur_config.vhd library IEEE; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_cna/Gene_SYNC.vhd b/lib/lpp/lpp_cna/Gene_SYNC.vhd --- a/lib/lpp/lpp_cna/Gene_SYNC.vhd +++ b/lib/lpp/lpp_cna/Gene_SYNC.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- Gene_SYNC.vhd library IEEE; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_cna/Serialize.vhd b/lib/lpp/lpp_cna/Serialize.vhd --- a/lib/lpp/lpp_cna/Serialize.vhd +++ b/lib/lpp/lpp_cna/Serialize.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- Serialize.vhd library IEEE; use IEEE.numeric_std.all; diff --git a/lib/lpp/lpp_cna/Systeme_Clock.vhd b/lib/lpp/lpp_cna/Systeme_Clock.vhd --- a/lib/lpp/lpp_cna/Systeme_Clock.vhd +++ b/lib/lpp/lpp_cna/Systeme_Clock.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- Systeme_Clock.vhd library IEEE; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_cna/lpp_cna.vhd b/lib/lpp/lpp_cna/lpp_cna.vhd --- a/lib/lpp/lpp_cna/lpp_cna.vhd +++ b/lib/lpp/lpp_cna/lpp_cna.vhd @@ -1,3 +1,21 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; diff --git a/lib/lpp/lpp_uart/BaudGen.vhd b/lib/lpp/lpp_uart/BaudGen.vhd --- a/lib/lpp/lpp_uart/BaudGen.vhd +++ b/lib/lpp/lpp_uart/BaudGen.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_uart/Shift_REG.vhd b/lib/lpp/lpp_uart/Shift_REG.vhd --- a/lib/lpp/lpp_uart/Shift_REG.vhd +++ b/lib/lpp/lpp_uart/Shift_REG.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/lib/lpp/lpp_uart/UART.vhd b/lib/lpp/lpp_uart/UART.vhd --- a/lib/lpp/lpp_uart/UART.vhd +++ b/lib/lpp/lpp_uart/UART.vhd @@ -16,6 +16,9 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- +-- Author : Alexis Jeandet +-- Mail : alexis.jeandet@lpp.polytechnique.fr +---------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; diff --git a/scripts/APB_DEV_UPDATER.sh b/scripts/APB_DEV_UPDATER.sh --- a/scripts/APB_DEV_UPDATER.sh +++ b/scripts/APB_DEV_UPDATER.sh @@ -45,20 +45,20 @@ CListFILE=$LPP_PATCHPATH/LPP_drivers/lib cat $VHDFileStart>$VHDListFILE cat $CFileStart>$CListFILE -grep vendor $ListFILE | sed "s/vendor /constant /" | sed "s/.* /& : amba_vendor_type := 16#/" | sed "s/.*#*/&;/" >> $VHDListFILE +grep vendor $ListFILE | sed "s/vendor /constant /" | sed "s/.* /& : amba_vendor_type := 16#/" | sed "s/.*#*/&#;/" >> $VHDListFILE grep vendor $ListFILE | sed "s/vendor /#define /" | sed "s/.* /& 0x/" >> $CListFILE echo " ">>$VHDListFILE echo " ">>$CListFILE -grep device $ListFILE | sed "s/device /constant /" | sed "s/.* /& : amba_device_type := 16#/" | sed "s/.*#*/&;/" >> $VHDListFILE +grep device $ListFILE | sed "s/device /constant /" | sed "s/.* /& : amba_device_type := 16#/" | sed "s/.*#*/&#;/" >> $VHDListFILE grep device $ListFILE | sed "s/device /#define /" | sed "s/.* /& 0x/" >> $CListFILE cat $VHDFileEnd>>$VHDListFILE cat $CFileEnd>>$CListFILE -sh $(SCRIPTSDIR)/GPL_Patcher.sh vhd lib/lpp/lpp_amba/ -sh $(SCRIPTSDIR)/GPL_Patcher.sh h LPP_drivers/libsrc/AMBA/ +sh $LPP_PATCHPATH/scripts/GPL_Patcher.sh vhd $LPP_PATCHPATH/lib/lpp/lpp_amba/ +sh $LPP_PATCHPATH/scripts/GPL_Patcher.sh h $LPP_PATCHPATH/LPP_drivers/libsrc/AMBA/ cd $LPP_PATCHPATH