Please enable JavaScript to use RhodeCode Enterprise
##// END OF EJS Templates
martin
- Fri, 10 May 2013 12:02:38
Show More
0
lib/lpp/lpp_matrix/Dispatch.vhd
lib/lpp/lpp_matrix/Dispatch.vhd
+6
-4
@@
-32,7
+32,8
port(
32
32
Acq : in std_logic ;
33
33
Data : in std_logic_vector ( Data_SZ - 1 downto 0 );
34
34
Write : in std_logic ;
35
Full : in std_logic_vector (1 downto 0);
35
Valid : in std_logic ;
36
-- Full : in std_logic_vector(1 downto 0);
36
37
FifoData : out std_logic_vector ( 2 * Data_SZ - 1 downto 0 );
37
38
FifoWrite : out std_logic_vector ( 1 downto 0 );
38
39
Pong : out std_logic ;
@@
-47,7
+48,7
type etat is (eX,e0,e1,e2);
47
48
signal ect : etat ;
48
49
49
50
signal Pong_int : std_logic ;
50
signal FifoCpt : integer range 0 to 1 := 0 ;
51
-- signal FifoCpt : integer range 0 to 1 := 0;
51
52
52
53
begin
53
54
@@
-63,7
+64,8
begin
63
64
case ect is
64
65
65
66
when e0 =>
66
if ( Full ( FifoCpt ) = '1' ) then
67
-- if(Full(FifoCpt) = '1')then
68
if ( Valid = '1' ) then
67
69
Pong_int <= not Pong_int ;
68
70
ect <= e1 ;
69
71
end if ;
@@
-88,7
+90,7
begin
88
90
FifoData <= Data & Data ;
89
91
Pong <= Pong_int ;
90
92
91
FifoCpt <= 0 when Pong_int = '0' else 1 ;
93
-- FifoCpt <= 0 when Pong_int='0' else 1;
92
94
93
95
FifoWrite <= '1' & not Write when Pong_int = '0' else not Write & '1' ;
94
96
0
lib/lpp/lpp_matrix/MatriceSpectrale.vhd
lib/lpp/lpp_matrix/MatriceSpectrale.vhd
+5
-2
@@
-35,9
+35,11
entity MatriceSpectrale is
35
35
36
36
FifoIN_Full : in std_logic_vector ( 4 downto 0 );
37
37
SetReUse : in std_logic_vector ( 4 downto 0 );
38
FifoOUT_Full : in std_logic_vector ( 1 downto 0 );
38
-- FifoOUT_Full : in std_logic_vector(1 downto 0);
39
Valid : in std_logic ;
39
40
Data_IN : in std_logic_vector (( 5 * Input_SZ ) - 1 downto 0 );
40
41
ACQ : in std_logic ;
42
SM_Write : out std_logic ;
41
43
FlagError : out std_logic ;
42
44
Pong : out std_logic ;
43
45
Statu : out std_logic_vector ( 3 downto 0 );
@@
-76,9
+78,10
begin
76
78
77
79
DISP : entity work . Dispatch
78
80
generic map ( Result_SZ )
79
port map ( clkm , rstn , ACQ , Matrix_Result , Matrix_Write , FifoOUT_Full, Data_OUT , Write , Pong , FlagError );
81
port map ( clkm , rstn , ACQ , Matrix_Result , Matrix_Write , Valid , Data_OUT , Write , Pong , FlagError );
80
82
81
83
Statu <= TopSM_Statu ;
84
SM_Write <= Matrix_Write ;
82
85
83
86
end architecture ;
84
87
0
lib/lpp/lpp_matrix/lpp_matrix.vhd
lib/lpp/lpp_matrix/lpp_matrix.vhd
+5
-2
@@
-66,9
+66,11
component MatriceSpectrale is
66
66
67
67
FifoIN_Full : in std_logic_vector ( 4 downto 0 );
68
68
SetReUse : in std_logic_vector ( 4 downto 0 );
69
FifoOUT_Full : in std_logic_vector ( 1 downto 0 );
69
-- FifoOUT_Full : in std_logic_vector(1 downto 0);
70
Valid : in std_logic ;
70
71
Data_IN : in std_logic_vector (( 5 * Input_SZ ) - 1 downto 0 );
71
72
ACQ : in std_logic ;
73
SM_Write : out std_logic ;
72
74
FlagError : out std_logic ;
73
75
Pong : out std_logic ;
74
76
Statu : out std_logic_vector ( 3 downto 0 );
@@
-200,7
+202,8
port(
200
202
Acq : in std_logic ;
201
203
Data : in std_logic_vector ( Data_SZ - 1 downto 0 );
202
204
Write : in std_logic ;
203
Full : in std_logic_vector (1 downto 0);
205
Valid : in std_logic ;
206
-- Full : in std_logic_vector(1 downto 0);
204
207
FifoData : out std_logic_vector ( 2 * Data_SZ - 1 downto 0 );
205
208
FifoWrite : out std_logic_vector ( 1 downto 0 );
206
209
Pong : out std_logic ;
Site-wide shortcuts
/
Use quick search box
g h
Goto home page
g g
Goto my private gists page
g G
Goto my public gists page
g 0-9
Goto bookmarked items from 0-9
n r
New repository page
n g
New gist page
Repositories
g s
Goto summary page
g c
Goto changelog page
g f
Goto files page
g F
Goto files page with file search activated
g p
Goto pull requests page
g o
Goto repository settings
g O
Goto repository access permissions settings
t s
Toggle sidebar on some pages