##// END OF EJS Templates
Improved doxygen html output, improved documentation on some VHDL and C files.
alexis -
r67:10679dca7fe8 default
parent child
Show More
@@ -0,0 +1,6
1 <div id="footer">
2 <p>© Copyright 2011 LPP-CNRS | Design by Alexis Jeandet</p>
3 </div>
4 </div>
5
6 </body></html>
@@ -0,0 +1,16
1 <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2 <html xmlns="http://www.w3.org/1999/xhtml">
3 <head>
4 <meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5 <title>lib-lpp: Main Page</title>
6 <link href="search/search.css" rel="stylesheet" type="text/css"/>
7 <script type="text/javaScript" src="search/search.js"></script>
8 <link href="doxygen.css" rel="stylesheet" type="text/css"/>
9 </head>
10 <body onload='searchBox.OnSelectItem(0);'>
11 <div id="wrap">
12 <div id="header">
13 <h1><a name="Free_VHDL_library" /><a href="../../">Free
14 VHDL library<br />
15 </a></h1>
16 </div>
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
@@ -0,0 +1,419
1 body {
2 font-family: Arial, sans-serif;
3 line-height: 1.5;
4 font-size: 14px;
5 background: #333;
6 margin: 0;
7 padding: 0;
8 }
9
10 #wrap {
11 width: 800px;
12 margin: 20px auto;
13 background: #333 url(center.jpg);
14 }
15
16 a { color: #50708F; text-decoration: none;}
17 a:hover { color: #880000; }
18
19 h1 {
20 margin: 0;
21 padding-left: 50px;
22 }
23
24 h1 a { text-decoration: none; color: #F9F7ED; }
25 h1 a:hover { border-bottom: 1px solid #F9F7ED; color: #F9F7ED; }
26
27
28 #header {
29 background: #333 url(header.jpg) no-repeat;
30 height: 140px;
31 line-height: 170px;
32 }
33
34
35
36 .tabs, .tabs2, .tabs3, .tablist {
37 background: #52add7 url(menu.jpg) no-repeat;
38 height: 30px;
39 line-height: 30px;
40 }
41
42 .tabs ul,.tabs2 ul,.tabs3 ul {
43 list-style-type: none;
44 margin: 0;
45 padding-left: 40px;
46 }
47
48 .tabs ul li,.tabs2 ul li,.tabs3 ul li {
49 display: block;
50 float: left;
51 }
52
53 .tabs ul li a,.tabs2 ul li a,.tabs3 ul li a {
54 display: block;
55 padding: 0 10px 0 10px;
56 text-decoration: none;
57 color: #fff;
58 font-size: 14px;
59 font-weight: 600;
60 }
61 .tabs ul li a:hover,.tabs2 ul li a:hover,.tabs3 ul li a:hover {
62 color: #fff;
63 background: url(menuover.png) repeat-x;
64 }
65
66 .tabs ul li div {
67 display: block;
68 margin-right: 150px;
69
70 color: #fff;
71 }
72
73
74 div.header
75 {
76 background-image:url('center.jpg');
77 background-color: #F9FAFC;
78 margin: 0px;
79 }
80
81 div.headertitle
82 {
83 padding: 5px 5px 5px 10px;
84 }
85
86 div.summary
87 {
88 float: right;
89 font-size: 8pt;
90 padding-right: 5px;
91 width: 50%;
92 text-align: right;
93 }
94
95 div.summary a
96 {
97 white-space: nowrap;
98 }
99
100 div.contents {
101 margin-top: 10px;
102 margin-left: 50px;
103 margin-right: 10px;
104 }
105
106 .mdescLeft, .mdescRight,
107 .memItemLeft, .memItemRight,
108 .memTemplItemLeft, .memTemplItemRight, .memTemplParams {
109 background-color: #F9FAFC;
110 border: none;
111 margin: 4px;
112 padding: 1px 0 0 8px;
113 }
114
115 .memItemLeft, .memItemRight, .memTemplParams {
116 border-top: 1px solid #C4CFE5;
117 }
118
119 td.indexkey {
120 background-color: #EBEFF6;
121 font-weight: bold;
122 border: 1px solid #C4CFE5;
123 margin: 2px 0px 2px 0;
124 padding: 2px 10px;
125 }
126
127 td.indexvalue {
128 background-color: #EBEFF6;
129 border: 1px solid #C4CFE5;
130 padding: 2px 10px;
131 margin: 2px 0px;
132 }
133
134 tr.memlist {
135 background-color: #EEF1F7;
136 }
137
138 div.qindex, div.navtab{
139 background-color: #EBEFF6;
140 border: 1px solid #A3B4D7;
141 text-align: center;
142 margin-right: 40px;
143 }
144
145 div.ah {
146 background-color: black;
147 font-weight: bold;
148 color: #ffffff;
149 margin-bottom: 3px;
150 margin-top: 3px;
151 padding: 0.2em;
152 border: solid thin #333;
153 border-radius: 0.5em;
154 -webkit-border-radius: .5em;
155 -moz-border-radius: .5em;
156 -webkit-box-shadow: 2px 2px 3px #999;
157 -moz-box-shadow: rgba(0, 0, 0, 0.15) 2px 2px 2px;
158 background-image: -webkit-gradient(linear, left top, left bottom, from(#eee), to(#000),color-stop(0.3, #444));
159 background-image: -moz-linear-gradient(center top, #eee 0%, #444 40%, #000);
160 }
161
162
163 a.el {
164 font-weight: bold;
165 }
166
167
168 .memItemLeft, .memTemplItemLeft {
169 white-space: nowrap;
170 }
171
172
173
174
175
176 .memtemplate {
177 font-size: 80%;
178 color: #4665A2;
179 font-weight: normal;
180 margin-left: 3px;
181 }
182
183 .memnav {
184 background-color: #EBEFF6;
185 border: 1px solid #A3B4D7;
186 text-align: center;
187 margin: 2px;
188 margin-right: 15px;
189 padding: 2px;
190 }
191
192 .memitem {
193 padding: 0;
194 margin-bottom: 10px;
195 }
196
197 .memname {
198 white-space: nowrap;
199 font-weight: bold;
200 margin-left: 6px;
201 }
202
203 .memproto {
204 border-top: 1px solid #A8B8D9;
205 border-left: 1px solid #A8B8D9;
206 border-right: 1px solid #A8B8D9;
207 padding: 6px 0px 6px 0px;
208 color: #253555;
209 font-weight: bold;
210 text-shadow: 0px 1px 1px rgba(255, 255, 255, 0.9);
211 /* firefox specific markup */
212 -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px;
213 -moz-border-radius-topright: 8px;
214 -moz-border-radius-topleft: 8px;
215 /* webkit specific markup */
216 -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15);
217 -webkit-border-top-right-radius: 8px;
218 -webkit-border-top-left-radius: 8px;
219 background-image:url('nav_f.png');
220 background-repeat:repeat-x;
221 background-color: #E2E8F2;
222
223 }
224
225 .memdoc {
226 border-bottom: 1px solid #A8B8D9;
227 border-left: 1px solid #A8B8D9;
228 border-right: 1px solid #A8B8D9;
229 padding: 2px 5px;
230 background-color: #FBFCFD;
231 border-top-width: 0;
232 /* firefox specific markup */
233 -moz-border-radius-bottomleft: 8px;
234 -moz-border-radius-bottomright: 8px;
235 -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px;
236 background-image: -moz-linear-gradient(center top, #FFFFFF 0%, #FFFFFF 60%, #F7F8FB 95%, #EEF1F7);
237 /* webkit specific markup */
238 -webkit-border-bottom-left-radius: 8px;
239 -webkit-border-bottom-right-radius: 8px;
240 -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15);
241 background-image: -webkit-gradient(linear,center top,center bottom,from(#FFFFFF), color-stop(0.6,#FFFFFF), color-stop(0.60,#FFFFFF), color-stop(0.95,#F7F8FB), to(#EEF1F7));
242 }
243
244
245 .paramkey {
246 text-align: right;
247 }
248
249 .paramtype {
250 white-space: nowrap;
251 }
252
253 .paramname {
254 color: #602020;
255 white-space: nowrap;
256 }
257 .paramname em {
258 font-style: normal;
259 }
260
261
262 .fragment {
263 font-family: monospace, fixed;
264 font-size: 105%;
265 }
266
267 pre.fragment {
268 border: 1px solid #C4CFE5;
269 background-color: #FBFCFD;
270 padding: 4px 6px;
271 margin: 4px 8px 4px 2px;
272 overflow: auto;
273 word-wrap: break-word;
274 font-size: 9pt;
275 line-height: 125%;
276 }
277
278
279 span.keyword {
280 color: #008000
281 }
282
283 span.keywordtype {
284 color: #604020
285 }
286
287 span.keywordflow {
288 color: #e08000
289 }
290
291 span.comment {
292 color: #800000
293 }
294
295 span.preprocessor {
296 color: #806020
297 }
298
299 span.stringliteral {
300 color: #002080
301 }
302
303 span.charliteral {
304 color: #008080
305 }
306
307 span.vhdldigit {
308 color: #ff00ff
309 }
310
311 span.vhdlchar {
312 color: #000000
313 }
314
315 span.vhdlkeyword {
316 color: #700070
317 }
318
319 span.vhdllogic {
320 color: #ff0000
321 }
322
323 .ftvtree {
324 font-family: sans-serif;
325 margin: 0px;
326 }
327
328 .tabsearch {
329 top: 0px;
330 left: 10px;
331 height: 36px;
332 background-image: url('tab_b.png');
333 z-index: 101;
334 overflow: hidden;
335 font-size: 13px;
336 }
337
338 .swap {
339 color: white;
340 }
341
342 .directory div p a.el {
343 color: #ffd;
344
345 }
346
347 /* these are for tree view when used as main index */
348
349 .directory {
350 font-size: 9pt;
351 font-weight: bold;
352 margin: 5px;
353 }
354
355 .directory h3 {
356 margin: 0px;
357 margin-top: 1em;
358 font-size: 11pt;
359 }
360
361 .directory > h3 {
362 margin-top: 0;
363 }
364
365 .directory p {
366 margin: 0px;
367 white-space: nowrap;
368 }
369
370 .directory div {
371 display: none;
372 margin: 0px;
373 }
374
375 .directory img {
376 vertical-align: -30%;
377 }
378
379 /* these are for tree view when not used as main index */
380
381 .directory-alt {
382 font-size: 100%;
383 font-weight: bold;
384 }
385
386 .directory-alt h3 {
387 margin: 0px;
388 margin-top: 1em;
389 font-size: 11pt;
390 }
391
392 .directory-alt > h3 {
393 margin-top: 0;
394 }
395
396 .directory-alt p {
397 margin: 0px;
398 white-space: nowrap;
399 }
400
401 .directory-alt div {
402 display: none;
403 margin: 0px;
404 }
405
406 .directory-alt img {
407 vertical-align: -30%;
408 }
409
410 #footer {
411 background: #333 url(footer.jpg)no-repeat;
412 text-align: center;
413 color: #fff;
414 height: 90px;
415 line-height: 90px;
416 }
417 #footer p { padding: 0; margin: 0; }
418 #footer a { color: #fff; }
419 #footer a:hover { text-decoration: underline; }
@@ -0,0 +1,51
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #include "stdio.h"
20 #include "lpp_apb_functions.h"
21
22
23
24 int main()
25 {
26 int d=0;
27 while(d!=10)
28 {
29 scanf("%d",&d);
30 switch(d)
31 {
32 case 0:
33 printf("cursor OFF \n");
34 break;
35 case 1:
36 printf("cursor ON \n");
37 break;
38 case 2:
39 break;
40 case 3:
41 apbprintdeviceslist();
42 break;
43 case 10:
44 return 0;
45 break;
46 default:
47 break;
48 }
49 }
50 return 0;
51 }
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
@@ -0,0 +1,6
1 <div id="footer">
2 <p>© Copyright 2011 LPP-CNRS | Design by Alexis Jeandet</p>
3 </div>
4 </div>
5
6 </body></html>
@@ -0,0 +1,14
1 <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2 <html xmlns="http://www.w3.org/1999/xhtml">
3 <head>
4 <meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5 <title>lib-lpp: Main Page</title>
6 <link href="search/search.css" rel="stylesheet" type="text/css"/>
7 <script type="text/javaScript" src="search/search.js"></script>
8 <link href="doxygen.css" rel="stylesheet" type="text/css"/>
9 </head>
10 <body >
11 <div id="wrap">
12 <div id="header">
13 <h1><a href="../../index.html">Free VHDL library</a></h1>
14 </div>
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
@@ -0,0 +1,419
1 body {
2 font-family: Arial, sans-serif;
3 line-height: 1.5;
4 font-size: 14px;
5 background: #333;
6 margin: 0;
7 padding: 0;
8 }
9
10 #wrap {
11 width: 800px;
12 margin: 20px auto;
13 background: #333 url(center.jpg);
14 }
15
16 a { color: #50708F; text-decoration: none;}
17 a:hover { color: #880000; }
18
19 h1 {
20 margin: 0;
21 padding-left: 50px;
22 }
23
24 h1 a { text-decoration: none; color: #F9F7ED; }
25 h1 a:hover { border-bottom: 1px solid #F9F7ED; color: #F9F7ED; }
26
27
28 #header {
29 background: #333 url(header.jpg) no-repeat;
30 height: 140px;
31 line-height: 170px;
32 }
33
34
35
36 .tabs, .tabs2, .tabs3, .tablist {
37 background: #52add7 url(menu.jpg) no-repeat;
38 height: 30px;
39 line-height: 30px;
40 }
41
42 .tabs ul,.tabs2 ul,.tabs3 ul {
43 list-style-type: none;
44 margin: 0;
45 padding-left: 40px;
46 }
47
48 .tabs ul li,.tabs2 ul li,.tabs3 ul li {
49 display: block;
50 float: left;
51 }
52
53 .tabs ul li a,.tabs2 ul li a,.tabs3 ul li a {
54 display: block;
55 padding: 0 10px 0 10px;
56 text-decoration: none;
57 color: #fff;
58 font-size: 14px;
59 font-weight: 600;
60 }
61 .tabs ul li a:hover,.tabs2 ul li a:hover,.tabs3 ul li a:hover {
62 color: #fff;
63 background: url(menuover.png) repeat-x;
64 }
65
66 .tabs ul li div {
67 display: block;
68 margin-right: 150px;
69
70 color: #fff;
71 }
72
73
74 div.header
75 {
76 background-image:url('center.jpg');
77 background-color: #F9FAFC;
78 margin: 0px;
79 }
80
81 div.headertitle
82 {
83 padding: 5px 5px 5px 10px;
84 }
85
86 div.summary
87 {
88 float: right;
89 font-size: 8pt;
90 padding-right: 5px;
91 width: 50%;
92 text-align: right;
93 }
94
95 div.summary a
96 {
97 white-space: nowrap;
98 }
99
100 div.contents {
101 margin-top: 10px;
102 margin-left: 50px;
103 margin-right: 10px;
104 }
105
106 .mdescLeft, .mdescRight,
107 .memItemLeft, .memItemRight,
108 .memTemplItemLeft, .memTemplItemRight, .memTemplParams {
109 background-color: #F9FAFC;
110 border: none;
111 margin: 4px;
112 padding: 1px 0 0 8px;
113 }
114
115 .memItemLeft, .memItemRight, .memTemplParams {
116 border-top: 1px solid #C4CFE5;
117 }
118
119 td.indexkey {
120 background-color: #EBEFF6;
121 font-weight: bold;
122 border: 1px solid #C4CFE5;
123 margin: 2px 0px 2px 0;
124 padding: 2px 10px;
125 }
126
127 td.indexvalue {
128 background-color: #EBEFF6;
129 border: 1px solid #C4CFE5;
130 padding: 2px 10px;
131 margin: 2px 0px;
132 }
133
134 tr.memlist {
135 background-color: #EEF1F7;
136 }
137
138 div.qindex, div.navtab{
139 background-color: #EBEFF6;
140 border: 1px solid #A3B4D7;
141 text-align: center;
142 margin-right: 40px;
143 }
144
145 div.ah {
146 background-color: black;
147 font-weight: bold;
148 color: #ffffff;
149 margin-bottom: 3px;
150 margin-top: 3px;
151 padding: 0.2em;
152 border: solid thin #333;
153 border-radius: 0.5em;
154 -webkit-border-radius: .5em;
155 -moz-border-radius: .5em;
156 -webkit-box-shadow: 2px 2px 3px #999;
157 -moz-box-shadow: rgba(0, 0, 0, 0.15) 2px 2px 2px;
158 background-image: -webkit-gradient(linear, left top, left bottom, from(#eee), to(#000),color-stop(0.3, #444));
159 background-image: -moz-linear-gradient(center top, #eee 0%, #444 40%, #000);
160 }
161
162
163 a.el {
164 font-weight: bold;
165 }
166
167
168 .memItemLeft, .memTemplItemLeft {
169 white-space: nowrap;
170 }
171
172
173
174
175
176 .memtemplate {
177 font-size: 80%;
178 color: #4665A2;
179 font-weight: normal;
180 margin-left: 3px;
181 }
182
183 .memnav {
184 background-color: #EBEFF6;
185 border: 1px solid #A3B4D7;
186 text-align: center;
187 margin: 2px;
188 margin-right: 15px;
189 padding: 2px;
190 }
191
192 .memitem {
193 padding: 0;
194 margin-bottom: 10px;
195 }
196
197 .memname {
198 white-space: nowrap;
199 font-weight: bold;
200 margin-left: 6px;
201 }
202
203 .memproto {
204 border-top: 1px solid #A8B8D9;
205 border-left: 1px solid #A8B8D9;
206 border-right: 1px solid #A8B8D9;
207 padding: 6px 0px 6px 0px;
208 color: #253555;
209 font-weight: bold;
210 text-shadow: 0px 1px 1px rgba(255, 255, 255, 0.9);
211 /* firefox specific markup */
212 -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px;
213 -moz-border-radius-topright: 8px;
214 -moz-border-radius-topleft: 8px;
215 /* webkit specific markup */
216 -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15);
217 -webkit-border-top-right-radius: 8px;
218 -webkit-border-top-left-radius: 8px;
219 background-image:url('nav_f.png');
220 background-repeat:repeat-x;
221 background-color: #E2E8F2;
222
223 }
224
225 .memdoc {
226 border-bottom: 1px solid #A8B8D9;
227 border-left: 1px solid #A8B8D9;
228 border-right: 1px solid #A8B8D9;
229 padding: 2px 5px;
230 background-color: #FBFCFD;
231 border-top-width: 0;
232 /* firefox specific markup */
233 -moz-border-radius-bottomleft: 8px;
234 -moz-border-radius-bottomright: 8px;
235 -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px;
236 background-image: -moz-linear-gradient(center top, #FFFFFF 0%, #FFFFFF 60%, #F7F8FB 95%, #EEF1F7);
237 /* webkit specific markup */
238 -webkit-border-bottom-left-radius: 8px;
239 -webkit-border-bottom-right-radius: 8px;
240 -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15);
241 background-image: -webkit-gradient(linear,center top,center bottom,from(#FFFFFF), color-stop(0.6,#FFFFFF), color-stop(0.60,#FFFFFF), color-stop(0.95,#F7F8FB), to(#EEF1F7));
242 }
243
244
245 .paramkey {
246 text-align: right;
247 }
248
249 .paramtype {
250 white-space: nowrap;
251 }
252
253 .paramname {
254 color: #602020;
255 white-space: nowrap;
256 }
257 .paramname em {
258 font-style: normal;
259 }
260
261
262 .fragment {
263 font-family: monospace, fixed;
264 font-size: 105%;
265 }
266
267 pre.fragment {
268 border: 1px solid #C4CFE5;
269 background-color: #FBFCFD;
270 padding: 4px 6px;
271 margin: 4px 8px 4px 2px;
272 overflow: auto;
273 word-wrap: break-word;
274 font-size: 9pt;
275 line-height: 125%;
276 }
277
278
279 span.keyword {
280 color: #008000
281 }
282
283 span.keywordtype {
284 color: #604020
285 }
286
287 span.keywordflow {
288 color: #e08000
289 }
290
291 span.comment {
292 color: #800000
293 }
294
295 span.preprocessor {
296 color: #806020
297 }
298
299 span.stringliteral {
300 color: #002080
301 }
302
303 span.charliteral {
304 color: #008080
305 }
306
307 span.vhdldigit {
308 color: #ff00ff
309 }
310
311 span.vhdlchar {
312 color: #000000
313 }
314
315 span.vhdlkeyword {
316 color: #700070
317 }
318
319 span.vhdllogic {
320 color: #ff0000
321 }
322
323 .ftvtree {
324 font-family: sans-serif;
325 margin: 0px;
326 }
327
328 .tabsearch {
329 top: 0px;
330 left: 10px;
331 height: 36px;
332 background-image: url('tab_b.png');
333 z-index: 101;
334 overflow: hidden;
335 font-size: 13px;
336 }
337
338 .swap {
339 color: white;
340 }
341
342 .directory div p a.el {
343 color: #ffd;
344
345 }
346
347 /* these are for tree view when used as main index */
348
349 .directory {
350 font-size: 9pt;
351 font-weight: bold;
352 margin: 5px;
353 }
354
355 .directory h3 {
356 margin: 0px;
357 margin-top: 1em;
358 font-size: 11pt;
359 }
360
361 .directory > h3 {
362 margin-top: 0;
363 }
364
365 .directory p {
366 margin: 0px;
367 white-space: nowrap;
368 }
369
370 .directory div {
371 display: none;
372 margin: 0px;
373 }
374
375 .directory img {
376 vertical-align: -30%;
377 }
378
379 /* these are for tree view when not used as main index */
380
381 .directory-alt {
382 font-size: 100%;
383 font-weight: bold;
384 }
385
386 .directory-alt h3 {
387 margin: 0px;
388 margin-top: 1em;
389 font-size: 11pt;
390 }
391
392 .directory-alt > h3 {
393 margin-top: 0;
394 }
395
396 .directory-alt p {
397 margin: 0px;
398 white-space: nowrap;
399 }
400
401 .directory-alt div {
402 display: none;
403 margin: 0px;
404 }
405
406 .directory-alt img {
407 vertical-align: -30%;
408 }
409
410 #footer {
411 background: #333 url(footer.jpg)no-repeat;
412 text-align: center;
413 color: #fff;
414 height: 90px;
415 line-height: 90px;
416 }
417 #footer p { padding: 0; margin: 0; }
418 #footer a { color: #fff; }
419 #footer a:hover { text-decoration: underline; }
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
@@ -1,1661 +1,1661
1 1 # Doxyfile 1.7.1
2 2
3 3 # This file describes the settings to be used by the documentation system
4 4 # doxygen (www.doxygen.org) for a project
5 5 #
6 6 # All text after a hash (#) is considered a comment and will be ignored
7 7 # The format is:
8 8 # TAG = value [value, ...]
9 9 # For lists items can also be appended using:
10 10 # TAG += value [value, ...]
11 11 # Values that contain spaces should be placed between quotes (" ")
12 12
13 13 #---------------------------------------------------------------------------
14 14 # Project related configuration options
15 15 #---------------------------------------------------------------------------
16 16
17 17 # This tag specifies the encoding used for all characters in the config file
18 18 # that follow. The default is UTF-8 which is also the encoding used for all
19 19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 20 # iconv built into libc) for the transcoding. See
21 21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22 22
23 23 DOXYFILE_ENCODING = UTF-8
24 24
25 25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 26 # by quotes) that should identify the project.
27 27
28 28 PROJECT_NAME = "VHDL lib Drivers"
29 29
30 30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 31 # This could be handy for archiving the generated documentation or
32 32 # if some version control system is used.
33 33
34 34 PROJECT_NUMBER = 0.4
35 35
36 36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 37 # base path where the generated documentation will be put.
38 38 # If a relative path is entered, it will be relative to the location
39 39 # where doxygen was started. If left blank the current directory will be used.
40 40
41 41 OUTPUT_DIRECTORY = ./Doc
42 42
43 43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 45 # format and will distribute the generated files over these directories.
46 46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 47 # source files, where putting all generated files in the same directory would
48 48 # otherwise cause performance problems for the file system.
49 49
50 50 CREATE_SUBDIRS = NO
51 51
52 52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 53 # documentation generated by doxygen is written. Doxygen will use this
54 54 # information to generate all constant output in the proper language.
55 55 # The default language is English, other supported languages are:
56 56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62 62
63 63 OUTPUT_LANGUAGE = English
64 64
65 65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 66 # include brief member descriptions after the members that are listed in
67 67 # the file and class documentation (similar to JavaDoc).
68 68 # Set to NO to disable this.
69 69
70 70 BRIEF_MEMBER_DESC = YES
71 71
72 72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 73 # the brief description of a member or function before the detailed description.
74 74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 75 # brief descriptions will be completely suppressed.
76 76
77 77 REPEAT_BRIEF = YES
78 78
79 79 # This tag implements a quasi-intelligent brief description abbreviator
80 80 # that is used to form the text in various listings. Each string
81 81 # in this list, if found as the leading text of the brief description, will be
82 82 # stripped from the text and the result after processing the whole list, is
83 83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 84 # If left blank, the following values are used ("$name" is automatically
85 85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 86 # "The $name file" "is" "provides" "specifies" "contains"
87 87 # "represents" "a" "an" "the"
88 88
89 89 ABBREVIATE_BRIEF = "The $name class" \
90 90 "The $name widget" \
91 91 "The $name file" \
92 92 is \
93 93 provides \
94 94 specifies \
95 95 contains \
96 96 represents \
97 97 a \
98 98 an \
99 99 the
100 100
101 101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 102 # Doxygen will generate a detailed section even if there is only a brief
103 103 # description.
104 104
105 105 ALWAYS_DETAILED_SEC = NO
106 106
107 107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 108 # inherited members of a class in the documentation of that class as if those
109 109 # members were ordinary class members. Constructors, destructors and assignment
110 110 # operators of the base classes will not be shown.
111 111
112 112 INLINE_INHERITED_MEMB = NO
113 113
114 114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 115 # path before files name in the file list and in the header files. If set
116 116 # to NO the shortest path that makes the file name unique will be used.
117 117
118 118 FULL_PATH_NAMES = YES
119 119
120 120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 121 # can be used to strip a user-defined part of the path. Stripping is
122 122 # only done if one of the specified strings matches the left-hand part of
123 123 # the path. The tag can be used to show relative paths in the file list.
124 124 # If left blank the directory from which doxygen is run is used as the
125 125 # path to strip.
126 126
127 127 STRIP_FROM_PATH =
128 128
129 129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 130 # the path mentioned in the documentation of a class, which tells
131 131 # the reader which header file to include in order to use a class.
132 132 # If left blank only the name of the header file containing the class
133 133 # definition is used. Otherwise one should specify the include paths that
134 134 # are normally passed to the compiler using the -I flag.
135 135
136 136 STRIP_FROM_INC_PATH =
137 137
138 138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 139 # (but less readable) file names. This can be useful is your file systems
140 140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141 141
142 142 SHORT_NAMES = YES
143 143
144 144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 146 # comment as the brief description. If set to NO, the JavaDoc
147 147 # comments will behave just like regular Qt-style comments
148 148 # (thus requiring an explicit @brief command for a brief description.)
149 149
150 150 JAVADOC_AUTOBRIEF = NO
151 151
152 152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 153 # interpret the first line (until the first dot) of a Qt-style
154 154 # comment as the brief description. If set to NO, the comments
155 155 # will behave just like regular Qt-style comments (thus requiring
156 156 # an explicit \brief command for a brief description.)
157 157
158 158 QT_AUTOBRIEF = NO
159 159
160 160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 162 # comments) as a brief description. This used to be the default behaviour.
163 163 # The new default is to treat a multi-line C++ comment block as a detailed
164 164 # description. Set this tag to YES if you prefer the old behaviour instead.
165 165
166 166 MULTILINE_CPP_IS_BRIEF = NO
167 167
168 168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 169 # member inherits the documentation from any documented member that it
170 170 # re-implements.
171 171
172 172 INHERIT_DOCS = YES
173 173
174 174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 175 # a new page for each member. If set to NO, the documentation of a member will
176 176 # be part of the file/class/namespace that contains it.
177 177
178 178 SEPARATE_MEMBER_PAGES = NO
179 179
180 180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182 182
183 183 TAB_SIZE = 8
184 184
185 185 # This tag can be used to specify a number of aliases that acts
186 186 # as commands in the documentation. An alias has the form "name=value".
187 187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 189 # will result in a user-defined paragraph with heading "Side Effects:".
190 190 # You can put \n's in the value part of an alias to insert newlines.
191 191
192 192 ALIASES =
193 193
194 194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 195 # sources only. Doxygen will then generate output that is more tailored for C.
196 196 # For instance, some of the names that are used will be different. The list
197 197 # of all members will be omitted, etc.
198 198
199 199 OPTIMIZE_OUTPUT_FOR_C = YES
200 200
201 201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 202 # sources only. Doxygen will then generate output that is more tailored for
203 203 # Java. For instance, namespaces will be presented as packages, qualified
204 204 # scopes will look different, etc.
205 205
206 206 OPTIMIZE_OUTPUT_JAVA = NO
207 207
208 208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 209 # sources only. Doxygen will then generate output that is more tailored for
210 210 # Fortran.
211 211
212 212 OPTIMIZE_FOR_FORTRAN = NO
213 213
214 214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 215 # sources. Doxygen will then generate output that is tailored for
216 216 # VHDL.
217 217
218 218 OPTIMIZE_OUTPUT_VHDL = NO
219 219
220 220 # Doxygen selects the parser to use depending on the extension of the files it
221 221 # parses. With this tag you can assign which parser to use for a given extension.
222 222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 223 # tag. The format is ext=language, where ext is a file extension, and language
224 224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229 229
230 230 EXTENSION_MAPPING =
231 231
232 232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 233 # to include (a tag file for) the STL sources as input, then you should
234 234 # set this tag to YES in order to let doxygen match functions declarations and
235 235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 236 # func(std::string) {}). This also make the inheritance and collaboration
237 237 # diagrams that involve STL classes more complete and accurate.
238 238
239 239 BUILTIN_STL_SUPPORT = NO
240 240
241 241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 242 # enable parsing support.
243 243
244 244 CPP_CLI_SUPPORT = NO
245 245
246 246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 248 # instead of private inheritance when no explicit protection keyword is present.
249 249
250 250 SIP_SUPPORT = NO
251 251
252 252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 253 # and setter methods for a property. Setting this option to YES (the default)
254 254 # will make doxygen to replace the get and set methods by a property in the
255 255 # documentation. This will only work if the methods are indeed getting or
256 256 # setting a simple type. If this is not the case, or you want to show the
257 257 # methods anyway, you should set this option to NO.
258 258
259 259 IDL_PROPERTY_SUPPORT = YES
260 260
261 261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 263 # member in the group (if any) for the other members of the group. By default
264 264 # all members of a group must be documented explicitly.
265 265
266 266 DISTRIBUTE_GROUP_DOC = NO
267 267
268 268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 269 # the same type (for instance a group of public functions) to be put as a
270 270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 272 # the \nosubgrouping command.
273 273
274 274 SUBGROUPING = YES
275 275
276 276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 277 # is documented as struct, union, or enum with the name of the typedef. So
278 278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 280 # namespace, or class. And the struct will be named TypeS. This can typically
281 281 # be useful for C code in case the coding convention dictates that all compound
282 282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283 283
284 284 TYPEDEF_HIDES_STRUCT = NO
285 285
286 286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 287 # determine which symbols to keep in memory and which to flush to disk.
288 288 # When the cache is full, less often used symbols will be written to disk.
289 289 # For small to medium size projects (<1000 input files) the default value is
290 290 # probably good enough. For larger projects a too small cache size can cause
291 291 # doxygen to be busy swapping symbols to and from disk most of the time
292 292 # causing a significant performance penality.
293 293 # If the system has enough physical memory increasing the cache will improve the
294 294 # performance by keeping more symbols in memory. Note that the value works on
295 295 # a logarithmic scale so increasing the size by one will rougly double the
296 296 # memory usage. The cache size is given by this formula:
297 297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 298 # corresponding to a cache size of 2^16 = 65536 symbols
299 299
300 300 SYMBOL_CACHE_SIZE = 0
301 301
302 302 #---------------------------------------------------------------------------
303 303 # Build related configuration options
304 304 #---------------------------------------------------------------------------
305 305
306 306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 307 # documentation are documented, even if no documentation was available.
308 308 # Private class members and static file members will be hidden unless
309 309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310 310
311 311 EXTRACT_ALL = YES
312 312
313 313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 314 # will be included in the documentation.
315 315
316 316 EXTRACT_PRIVATE = NO
317 317
318 318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 319 # will be included in the documentation.
320 320
321 321 EXTRACT_STATIC = NO
322 322
323 323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 324 # defined locally in source files will be included in the documentation.
325 325 # If set to NO only classes defined in header files are included.
326 326
327 327 EXTRACT_LOCAL_CLASSES = YES
328 328
329 329 # This flag is only useful for Objective-C code. When set to YES local
330 330 # methods, which are defined in the implementation section but not in
331 331 # the interface are included in the documentation.
332 332 # If set to NO (the default) only methods in the interface are included.
333 333
334 334 EXTRACT_LOCAL_METHODS = YES
335 335
336 336 # If this flag is set to YES, the members of anonymous namespaces will be
337 337 # extracted and appear in the documentation as a namespace called
338 338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 339 # name of the file that contains the anonymous namespace. By default
340 340 # anonymous namespace are hidden.
341 341
342 EXTRACT_ANON_NSPACES = NO
342 EXTRACT_ANON_NSPACES = YES
343 343
344 344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 345 # undocumented members of documented classes, files or namespaces.
346 346 # If set to NO (the default) these members will be included in the
347 347 # various overviews, but no documentation section is generated.
348 348 # This option has no effect if EXTRACT_ALL is enabled.
349 349
350 350 HIDE_UNDOC_MEMBERS = NO
351 351
352 352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 353 # undocumented classes that are normally visible in the class hierarchy.
354 354 # If set to NO (the default) these classes will be included in the various
355 355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356 356
357 357 HIDE_UNDOC_CLASSES = NO
358 358
359 359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 360 # friend (class|struct|union) declarations.
361 361 # If set to NO (the default) these declarations will be included in the
362 362 # documentation.
363 363
364 364 HIDE_FRIEND_COMPOUNDS = NO
365 365
366 366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 367 # documentation blocks found inside the body of a function.
368 368 # If set to NO (the default) these blocks will be appended to the
369 369 # function's detailed documentation block.
370 370
371 371 HIDE_IN_BODY_DOCS = NO
372 372
373 373 # The INTERNAL_DOCS tag determines if documentation
374 374 # that is typed after a \internal command is included. If the tag is set
375 375 # to NO (the default) then the documentation will be excluded.
376 376 # Set it to YES to include the internal documentation.
377 377
378 378 INTERNAL_DOCS = NO
379 379
380 380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 381 # file names in lower-case letters. If set to YES upper-case letters are also
382 382 # allowed. This is useful if you have classes or files whose names only differ
383 383 # in case and if your file system supports case sensitive file names. Windows
384 384 # and Mac users are advised to set this option to NO.
385 385
386 386 CASE_SENSE_NAMES = NO
387 387
388 388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 389 # will show members with their full class and namespace scopes in the
390 390 # documentation. If set to YES the scope will be hidden.
391 391
392 392 HIDE_SCOPE_NAMES = YES
393 393
394 394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 395 # will put a list of the files that are included by a file in the documentation
396 396 # of that file.
397 397
398 398 SHOW_INCLUDE_FILES = YES
399 399
400 400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 401 # will list include files with double quotes in the documentation
402 402 # rather than with sharp brackets.
403 403
404 404 FORCE_LOCAL_INCLUDES = NO
405 405
406 406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 407 # is inserted in the documentation for inline members.
408 408
409 409 INLINE_INFO = YES
410 410
411 411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 412 # will sort the (detailed) documentation of file and class members
413 413 # alphabetically by member name. If set to NO the members will appear in
414 414 # declaration order.
415 415
416 416 SORT_MEMBER_DOCS = YES
417 417
418 418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 419 # brief documentation of file, namespace and class members alphabetically
420 420 # by member name. If set to NO (the default) the members will appear in
421 421 # declaration order.
422 422
423 423 SORT_BRIEF_DOCS = NO
424 424
425 425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 426 # will sort the (brief and detailed) documentation of class members so that
427 427 # constructors and destructors are listed first. If set to NO (the default)
428 428 # the constructors will appear in the respective orders defined by
429 429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432 432
433 433 SORT_MEMBERS_CTORS_1ST = NO
434 434
435 435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 437 # the group names will appear in their defined order.
438 438
439 439 SORT_GROUP_NAMES = NO
440 440
441 441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 442 # sorted by fully-qualified names, including namespaces. If set to
443 443 # NO (the default), the class list will be sorted only by class name,
444 444 # not including the namespace part.
445 445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 446 # Note: This option applies only to the class list, not to the
447 447 # alphabetical list.
448 448
449 449 SORT_BY_SCOPE_NAME = NO
450 450
451 451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 452 # disable (NO) the todo list. This list is created by putting \todo
453 453 # commands in the documentation.
454 454
455 455 GENERATE_TODOLIST = YES
456 456
457 457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 458 # disable (NO) the test list. This list is created by putting \test
459 459 # commands in the documentation.
460 460
461 461 GENERATE_TESTLIST = YES
462 462
463 463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 464 # disable (NO) the bug list. This list is created by putting \bug
465 465 # commands in the documentation.
466 466
467 467 GENERATE_BUGLIST = YES
468 468
469 469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 470 # disable (NO) the deprecated list. This list is created by putting
471 471 # \deprecated commands in the documentation.
472 472
473 473 GENERATE_DEPRECATEDLIST= YES
474 474
475 475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 476 # documentation sections, marked by \if sectionname ... \endif.
477 477
478 478 ENABLED_SECTIONS =
479 479
480 480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 481 # the initial value of a variable or define consists of for it to appear in
482 482 # the documentation. If the initializer consists of more lines than specified
483 483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 484 # The appearance of the initializer of individual variables and defines in the
485 485 # documentation can be controlled using \showinitializer or \hideinitializer
486 486 # command in the documentation regardless of this setting.
487 487
488 488 MAX_INITIALIZER_LINES = 30
489 489
490 490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 491 # at the bottom of the documentation of classes and structs. If set to YES the
492 492 # list will mention the files that were used to generate the documentation.
493 493
494 494 SHOW_USED_FILES = YES
495 495
496 496 # If the sources in your project are distributed over multiple directories
497 497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 498 # in the documentation. The default is NO.
499 499
500 SHOW_DIRECTORIES = NO
500 SHOW_DIRECTORIES = YES
501 501
502 502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 503 # This will remove the Files entry from the Quick Index and from the
504 504 # Folder Tree View (if specified). The default is YES.
505 505
506 506 SHOW_FILES = YES
507 507
508 508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 510 # and from the Folder Tree View (if specified). The default is YES.
511 511
512 512 SHOW_NAMESPACES = YES
513 513
514 514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 515 # doxygen should invoke to get the current version for each file (typically from
516 516 # the version control system). Doxygen will invoke the program by executing (via
517 517 # popen()) the command <command> <input-file>, where <command> is the value of
518 518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 519 # provided by doxygen. Whatever the program writes to standard output
520 520 # is used as the file version. See the manual for examples.
521 521
522 522 FILE_VERSION_FILTER =
523 523
524 524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 525 # by doxygen. The layout file controls the global structure of the generated
526 526 # output files in an output format independent way. The create the layout file
527 527 # that represents doxygen's defaults, run doxygen with the -l option.
528 528 # You can optionally specify a file name after the option, if omitted
529 529 # DoxygenLayout.xml will be used as the name of the layout file.
530 530
531 531 LAYOUT_FILE =
532 532
533 533 #---------------------------------------------------------------------------
534 534 # configuration options related to warning and progress messages
535 535 #---------------------------------------------------------------------------
536 536
537 537 # The QUIET tag can be used to turn on/off the messages that are generated
538 538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539 539
540 540 QUIET = NO
541 541
542 542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 543 # generated by doxygen. Possible values are YES and NO. If left blank
544 544 # NO is used.
545 545
546 546 WARNINGS = YES
547 547
548 548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 550 # automatically be disabled.
551 551
552 552 WARN_IF_UNDOCUMENTED = YES
553 553
554 554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 555 # potential errors in the documentation, such as not documenting some
556 556 # parameters in a documented function, or documenting parameters that
557 557 # don't exist or using markup commands wrongly.
558 558
559 559 WARN_IF_DOC_ERROR = YES
560 560
561 561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 562 # functions that are documented, but have no documentation for their parameters
563 563 # or return value. If set to NO (the default) doxygen will only warn about
564 564 # wrong or incomplete parameter documentation, but not about the absence of
565 565 # documentation.
566 566
567 567 WARN_NO_PARAMDOC = NO
568 568
569 569 # The WARN_FORMAT tag determines the format of the warning messages that
570 570 # doxygen can produce. The string should contain the $file, $line, and $text
571 571 # tags, which will be replaced by the file and line number from which the
572 572 # warning originated and the warning text. Optionally the format may contain
573 573 # $version, which will be replaced by the version of the file (if it could
574 574 # be obtained via FILE_VERSION_FILTER)
575 575
576 576 WARN_FORMAT = "$file:$line: $text"
577 577
578 578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 579 # and error messages should be written. If left blank the output is written
580 580 # to stderr.
581 581
582 582 WARN_LOGFILE =
583 583
584 584 #---------------------------------------------------------------------------
585 585 # configuration options related to the input files
586 586 #---------------------------------------------------------------------------
587 587
588 588 # The INPUT tag can be used to specify the files and/or directories that contain
589 589 # documented source files. You may enter file names like "myfile.cpp" or
590 590 # directories like "/usr/src/myproject". Separate the files or directories
591 591 # with spaces.
592 592
593 593 INPUT = ./libsrc
594 594
595 595 # This tag can be used to specify the character encoding of the source files
596 596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 599 # the list of possible encodings.
600 600
601 601 INPUT_ENCODING = UTF-8
602 602
603 603 # If the value of the INPUT tag contains directories, you can use the
604 604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 605 # and *.h) to filter out the source-files in the directories. If left
606 606 # blank the following patterns are tested:
607 607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609 609
610 610 FILE_PATTERNS = *.c \
611 611 *.cc \
612 612 *.cxx \
613 613 *.cpp \
614 614 *.c++ \
615 615 *.d \
616 616 *.java \
617 617 *.ii \
618 618 *.ixx \
619 619 *.ipp \
620 620 *.i++ \
621 621 *.inl \
622 622 *.h \
623 623 *.hh \
624 624 *.hxx \
625 625 *.hpp \
626 626 *.h++ \
627 627 *.idl \
628 628 *.odl \
629 629 *.cs \
630 630 *.php \
631 631 *.php3 \
632 632 *.inc \
633 633 *.m \
634 634 *.mm \
635 635 *.dox \
636 636 *.py \
637 637 *.f90 \
638 638 *.f \
639 639 *.vhd \
640 640 *.vhdl
641 641
642 642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 643 # should be searched for input files as well. Possible values are YES and NO.
644 644 # If left blank NO is used.
645 645
646 646 RECURSIVE = YES
647 647
648 648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 649 # excluded from the INPUT source files. This way you can easily exclude a
650 650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651 651
652 652 EXCLUDE =
653 653
654 654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 656 # from the input.
657 657
658 658 EXCLUDE_SYMLINKS = NO
659 659
660 660 # If the value of the INPUT tag contains directories, you can use the
661 661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 662 # certain files from those directories. Note that the wildcards are matched
663 663 # against the file with absolute path, so to exclude all test directories
664 664 # for example use the pattern */test/*
665 665
666 666 EXCLUDE_PATTERNS =
667 667
668 668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 670 # output. The symbol name can be a fully qualified name, a word, or if the
671 671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 672 # AClass::ANamespace, ANamespace::*Test
673 673
674 674 EXCLUDE_SYMBOLS =
675 675
676 676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 677 # directories that contain example code fragments that are included (see
678 678 # the \include command).
679 679
680 EXAMPLE_PATH =
680 EXAMPLE_PATH = Doc/ressources/examples
681 681
682 682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 684 # and *.h) to filter out the source-files in the directories. If left
685 685 # blank all files are included.
686 686
687 687 EXAMPLE_PATTERNS = *
688 688
689 689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 690 # searched for input files to be used with the \include or \dontinclude
691 691 # commands irrespective of the value of the RECURSIVE tag.
692 692 # Possible values are YES and NO. If left blank NO is used.
693 693
694 694 EXAMPLE_RECURSIVE = NO
695 695
696 696 # The IMAGE_PATH tag can be used to specify one or more files or
697 697 # directories that contain image that are included in the documentation (see
698 698 # the \image command).
699 699
700 700 IMAGE_PATH =
701 701
702 702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 706 # input file. Doxygen will then use the output that the filter program writes
707 707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 708 # ignored.
709 709
710 710 INPUT_FILTER =
711 711
712 712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 713 # basis. Doxygen will compare the file name with each pattern and apply the
714 714 # filter if there is a match. The filters are a list of the form:
715 715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 717 # is applied to all files.
718 718
719 719 FILTER_PATTERNS =
720 720
721 721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 722 # INPUT_FILTER) will be used to filter the input files when producing source
723 723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724 724
725 725 FILTER_SOURCE_FILES = NO
726 726
727 727 #---------------------------------------------------------------------------
728 728 # configuration options related to source browsing
729 729 #---------------------------------------------------------------------------
730 730
731 731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 732 # be generated. Documented entities will be cross-referenced with these sources.
733 733 # Note: To get rid of all source code in the generated output, make sure also
734 734 # VERBATIM_HEADERS is set to NO.
735 735
736 736 SOURCE_BROWSER = YES
737 737
738 738 # Setting the INLINE_SOURCES tag to YES will include the body
739 739 # of functions and classes directly in the documentation.
740 740
741 741 INLINE_SOURCES = YES
742 742
743 743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 744 # doxygen to hide any special comment blocks from generated source code
745 745 # fragments. Normal C and C++ comments will always remain visible.
746 746
747 747 STRIP_CODE_COMMENTS = YES
748 748
749 749 # If the REFERENCED_BY_RELATION tag is set to YES
750 750 # then for each documented function all documented
751 751 # functions referencing it will be listed.
752 752
753 753 REFERENCED_BY_RELATION = NO
754 754
755 755 # If the REFERENCES_RELATION tag is set to YES
756 756 # then for each documented function all documented entities
757 757 # called/used by that function will be listed.
758 758
759 759 REFERENCES_RELATION = NO
760 760
761 761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 764 # link to the source code. Otherwise they will link to the documentation.
765 765
766 766 REFERENCES_LINK_SOURCE = YES
767 767
768 768 # If the USE_HTAGS tag is set to YES then the references to source code
769 769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 770 # built-in source browser. The htags tool is part of GNU's global source
771 771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 772 # will need version 4.8.6 or higher.
773 773
774 774 USE_HTAGS = NO
775 775
776 776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 777 # will generate a verbatim copy of the header file for each class for
778 778 # which an include is specified. Set to NO to disable this.
779 779
780 780 VERBATIM_HEADERS = YES
781 781
782 782 #---------------------------------------------------------------------------
783 783 # configuration options related to the alphabetical class index
784 784 #---------------------------------------------------------------------------
785 785
786 786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 787 # of all compounds will be generated. Enable this if the project
788 788 # contains a lot of classes, structs, unions or interfaces.
789 789
790 790 ALPHABETICAL_INDEX = YES
791 791
792 792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 794 # in which this list will be split (can be a number in the range [1..20])
795 795
796 796 COLS_IN_ALPHA_INDEX = 5
797 797
798 798 # In case all classes in a project start with a common prefix, all
799 799 # classes will be put under the same header in the alphabetical index.
800 800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 801 # should be ignored while generating the index headers.
802 802
803 803 IGNORE_PREFIX =
804 804
805 805 #---------------------------------------------------------------------------
806 806 # configuration options related to the HTML output
807 807 #---------------------------------------------------------------------------
808 808
809 809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 810 # generate HTML output.
811 811
812 812 GENERATE_HTML = YES
813 813
814 814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 816 # put in front of it. If left blank `html' will be used as the default path.
817 817
818 818 HTML_OUTPUT = html
819 819
820 820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 822 # doxygen will generate files with .html extension.
823 823
824 824 HTML_FILE_EXTENSION = .html
825 825
826 826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 827 # each generated HTML page. If it is left blank doxygen will generate a
828 828 # standard header.
829 829
830 HTML_HEADER =
830 HTML_HEADER = Doc/ressources/Header
831 831
832 832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 833 # each generated HTML page. If it is left blank doxygen will generate a
834 834 # standard footer.
835 835
836 HTML_FOOTER =
836 HTML_FOOTER = Doc/ressources/Footer
837 837
838 838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 839 # style sheet that is used by each HTML page. It can be used to
840 840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 841 # will generate a default style sheet. Note that doxygen will try to copy
842 842 # the style sheet file to the HTML output directory, so don't put your own
843 843 # stylesheet in the HTML output directory as well, or it will be erased!
844 844
845 HTML_STYLESHEET =
845 HTML_STYLESHEET = Doc/ressources/doxygen.css
846 846
847 847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 848 # Doxygen will adjust the colors in the stylesheet and background images
849 849 # according to this color. Hue is specified as an angle on a colorwheel,
850 850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 853 # The allowed range is 0 to 359.
854 854
855 HTML_COLORSTYLE_HUE = 220
855 HTML_COLORSTYLE_HUE = 218
856 856
857 857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 858 # the colors in the HTML output. For a value of 0 the output will use
859 859 # grayscales only. A value of 255 will produce the most vivid colors.
860 860
861 861 HTML_COLORSTYLE_SAT = 100
862 862
863 863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 864 # the luminance component of the colors in the HTML output. Values below
865 865 # 100 gradually make the output lighter, whereas values above 100 make
866 866 # the output darker. The value divided by 100 is the actual gamma applied,
867 867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 868 # and 100 does not change the gamma.
869 869
870 870 HTML_COLORSTYLE_GAMMA = 80
871 871
872 872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 873 # page will contain the date and time when the page was generated. Setting
874 874 # this to NO can help when comparing the output of multiple runs.
875 875
876 876 HTML_TIMESTAMP = YES
877 877
878 878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 879 # files or namespaces will be aligned in HTML using tables. If set to
880 880 # NO a bullet list will be used.
881 881
882 882 HTML_ALIGN_MEMBERS = YES
883 883
884 884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 885 # documentation will contain sections that can be hidden and shown after the
886 886 # page has loaded. For this to work a browser that supports
887 887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889 889
890 890 HTML_DYNAMIC_SECTIONS = YES
891 891
892 892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 893 # will be generated that can be used as input for Apple's Xcode 3
894 894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 895 # To create a documentation set, doxygen will generate a Makefile in the
896 896 # HTML output directory. Running make will produce the docset in that
897 897 # directory and running "make install" will install the docset in
898 898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 899 # it at startup.
900 900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 901 # for more information.
902 902
903 903 GENERATE_DOCSET = NO
904 904
905 905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 906 # feed. A documentation feed provides an umbrella under which multiple
907 907 # documentation sets from a single provider (such as a company or product suite)
908 908 # can be grouped.
909 909
910 910 DOCSET_FEEDNAME = "Doxygen generated docs"
911 911
912 912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 913 # should uniquely identify the documentation set bundle. This should be a
914 914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 915 # will append .docset to the name.
916 916
917 917 DOCSET_BUNDLE_ID = org.doxygen.Project
918 918
919 919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 920 # the documentation publisher. This should be a reverse domain-name style
921 921 # string, e.g. com.mycompany.MyDocSet.documentation.
922 922
923 923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924 924
925 925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926 926
927 927 DOCSET_PUBLISHER_NAME = Publisher
928 928
929 929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 930 # will be generated that can be used as input for tools like the
931 931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 932 # of the generated HTML documentation.
933 933
934 934 GENERATE_HTMLHELP = NO
935 935
936 936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 937 # be used to specify the file name of the resulting .chm file. You
938 938 # can add a path in front of the file if the result should not be
939 939 # written to the html output directory.
940 940
941 941 CHM_FILE =
942 942
943 943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 944 # be used to specify the location (absolute path including file name) of
945 945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 946 # the HTML help compiler on the generated index.hhp.
947 947
948 948 HHC_LOCATION =
949 949
950 950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 951 # controls if a separate .chi index file is generated (YES) or that
952 952 # it should be included in the master .chm file (NO).
953 953
954 954 GENERATE_CHI = NO
955 955
956 956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 958 # content.
959 959
960 960 CHM_INDEX_ENCODING =
961 961
962 962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 963 # controls whether a binary table of contents is generated (YES) or a
964 964 # normal table of contents (NO) in the .chm file.
965 965
966 966 BINARY_TOC = NO
967 967
968 968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 969 # to the contents of the HTML help documentation and to the tree view.
970 970
971 971 TOC_EXPAND = NO
972 972
973 973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 975 # that can be used as input for Qt's qhelpgenerator to generate a
976 976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977 977
978 978 GENERATE_QHP = NO
979 979
980 980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 981 # be used to specify the file name of the resulting .qch file.
982 982 # The path specified is relative to the HTML output folder.
983 983
984 984 QCH_FILE =
985 985
986 986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 987 # Qt Help Project output. For more information please see
988 988 # http://doc.trolltech.com/qthelpproject.html#namespace
989 989
990 990 QHP_NAMESPACE = org.doxygen.Project
991 991
992 992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 993 # Qt Help Project output. For more information please see
994 994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995 995
996 996 QHP_VIRTUAL_FOLDER = doc
997 997
998 998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 999 # add. For more information please see
1000 1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001 1001
1002 1002 QHP_CUST_FILTER_NAME =
1003 1003
1004 1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 1005 # custom filter to add. For more information please see
1006 1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 1007 # Qt Help Project / Custom Filters</a>.
1008 1008
1009 1009 QHP_CUST_FILTER_ATTRS =
1010 1010
1011 1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 1012 # project's
1013 1013 # filter section matches.
1014 1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 1015 # Qt Help Project / Filter Attributes</a>.
1016 1016
1017 1017 QHP_SECT_FILTER_ATTRS =
1018 1018
1019 1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 1020 # be used to specify the location of Qt's qhelpgenerator.
1021 1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 1022 # .qhp file.
1023 1023
1024 1024 QHG_LOCATION =
1025 1025
1026 1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 1028 # plugin. To install this plugin and make it available under the help contents
1029 1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 1031 # the directory within the plugins directory should be the same as
1032 1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 1033 # the help appears.
1034 1034
1035 1035 GENERATE_ECLIPSEHELP = NO
1036 1036
1037 1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 1038 # the directory name containing the HTML and XML files should also have
1039 1039 # this name.
1040 1040
1041 1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042 1042
1043 1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 1044 # top of each HTML page. The value NO (the default) enables the index and
1045 1045 # the value YES disables it.
1046 1046
1047 1047 DISABLE_INDEX = NO
1048 1048
1049 1049 # This tag can be used to set the number of enum values (range [1..20])
1050 1050 # that doxygen will group on one line in the generated HTML documentation.
1051 1051
1052 1052 ENUM_VALUES_PER_LINE = 4
1053 1053
1054 1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 1055 # structure should be generated to display hierarchical information.
1056 1056 # If the tag value is set to YES, a side panel will be generated
1057 1057 # containing a tree-like index structure (just like the one that
1058 1058 # is generated for HTML Help). For this to work a browser that supports
1059 1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 1060 # Windows users are probably better off using the HTML help feature.
1061 1061
1062 GENERATE_TREEVIEW = NO
1062 GENERATE_TREEVIEW = YES
1063 1063
1064 1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066 1066
1067 1067 USE_INLINE_TREES = NO
1068 1068
1069 1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 1071 # is shown.
1072 1072
1073 1073 TREEVIEW_WIDTH = 250
1074 1074
1075 1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 1076 # links to external symbols imported via tag files in a separate window.
1077 1077
1078 1078 EXT_LINKS_IN_WINDOW = NO
1079 1079
1080 1080 # Use this tag to change the font size of Latex formulas included
1081 1081 # as images in the HTML documentation. The default is 10. Note that
1082 1082 # when you change the font size after a successful doxygen run you need
1083 1083 # to manually remove any form_*.png images from the HTML output directory
1084 1084 # to force them to be regenerated.
1085 1085
1086 1086 FORMULA_FONTSIZE = 10
1087 1087
1088 1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 1091 # Note that when changing this option you need to delete any form_*.png files
1092 1092 # in the HTML output before the changes have effect.
1093 1093
1094 1094 FORMULA_TRANSPARENT = YES
1095 1095
1096 1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 1097 # for the HTML output. The underlying search engine uses javascript
1098 1098 # and DHTML and should work on any modern browser. Note that when using
1099 1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 1101 # typically be disabled. For large projects the javascript based search engine
1102 1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103 1103
1104 1104 SEARCHENGINE = YES
1105 1105
1106 1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 1107 # implemented using a PHP enabled web server instead of at the web client
1108 1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 1109 # file to put on the web server. The advantage of the server
1110 1110 # based approach is that it scales better to large projects and allows
1111 1111 # full text search. The disadvances is that it is more difficult to setup
1112 1112 # and does not have live searching capabilities.
1113 1113
1114 1114 SERVER_BASED_SEARCH = NO
1115 1115
1116 1116 #---------------------------------------------------------------------------
1117 1117 # configuration options related to the LaTeX output
1118 1118 #---------------------------------------------------------------------------
1119 1119
1120 1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 1121 # generate Latex output.
1122 1122
1123 1123 GENERATE_LATEX = YES
1124 1124
1125 1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 1127 # put in front of it. If left blank `latex' will be used as the default path.
1128 1128
1129 1129 LATEX_OUTPUT = latex
1130 1130
1131 1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 1132 # invoked. If left blank `latex' will be used as the default command name.
1133 1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 1135 # Makefile that is written to the output directory.
1136 1136
1137 1137 LATEX_CMD_NAME = latex
1138 1138
1139 1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 1141 # default command name.
1142 1142
1143 1143 MAKEINDEX_CMD_NAME = makeindex
1144 1144
1145 1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 1146 # LaTeX documents. This may be useful for small projects and may help to
1147 1147 # save some trees in general.
1148 1148
1149 1149 COMPACT_LATEX = YES
1150 1150
1151 1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 1153 # executive. If left blank a4wide will be used.
1154 1154
1155 1155 PAPER_TYPE = a4wide
1156 1156
1157 1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 1158 # packages that should be included in the LaTeX output.
1159 1159
1160 1160 EXTRA_PACKAGES =
1161 1161
1162 1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 1163 # the generated latex document. The header should contain everything until
1164 1164 # the first chapter. If it is left blank doxygen will generate a
1165 1165 # standard header. Notice: only use this tag if you know what you are doing!
1166 1166
1167 1167 LATEX_HEADER =
1168 1168
1169 1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 1171 # contain links (just like the HTML output) instead of page references
1172 1172 # This makes the output suitable for online browsing using a pdf viewer.
1173 1173
1174 1174 PDF_HYPERLINKS = YES
1175 1175
1176 1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 1178 # higher quality PDF documentation.
1179 1179
1180 1180 USE_PDFLATEX = YES
1181 1181
1182 1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 1184 # running if errors occur, instead of asking the user for help.
1185 1185 # This option is also used when generating formulas in HTML.
1186 1186
1187 1187 LATEX_BATCHMODE = NO
1188 1188
1189 1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 1191 # in the output.
1192 1192
1193 1193 LATEX_HIDE_INDICES = NO
1194 1194
1195 1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 1196 # source code with syntax highlighting in the LaTeX output.
1197 1197 # Note that which sources are shown also depends on other settings
1198 1198 # such as SOURCE_BROWSER.
1199 1199
1200 1200 LATEX_SOURCE_CODE = NO
1201 1201
1202 1202 #---------------------------------------------------------------------------
1203 1203 # configuration options related to the RTF output
1204 1204 #---------------------------------------------------------------------------
1205 1205
1206 1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 1208 # other RTF readers or editors.
1209 1209
1210 1210 GENERATE_RTF = NO
1211 1211
1212 1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215 1215
1216 1216 RTF_OUTPUT = rtf
1217 1217
1218 1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 1219 # RTF documents. This may be useful for small projects and may help to
1220 1220 # save some trees in general.
1221 1221
1222 1222 COMPACT_RTF = NO
1223 1223
1224 1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 1225 # will contain hyperlink fields. The RTF file will
1226 1226 # contain links (just like the HTML output) instead of page references.
1227 1227 # This makes the output suitable for online browsing using WORD or other
1228 1228 # programs which support those fields.
1229 1229 # Note: wordpad (write) and others do not support links.
1230 1230
1231 1231 RTF_HYPERLINKS = NO
1232 1232
1233 1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 1234 # config file, i.e. a series of assignments. You only have to provide
1235 1235 # replacements, missing definitions are set to their default value.
1236 1236
1237 1237 RTF_STYLESHEET_FILE =
1238 1238
1239 1239 # Set optional variables used in the generation of an rtf document.
1240 1240 # Syntax is similar to doxygen's config file.
1241 1241
1242 1242 RTF_EXTENSIONS_FILE =
1243 1243
1244 1244 #---------------------------------------------------------------------------
1245 1245 # configuration options related to the man page output
1246 1246 #---------------------------------------------------------------------------
1247 1247
1248 1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 1249 # generate man pages
1250 1250
1251 1251 GENERATE_MAN = NO
1252 1252
1253 1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 1255 # put in front of it. If left blank `man' will be used as the default path.
1256 1256
1257 1257 MAN_OUTPUT = man
1258 1258
1259 1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 1260 # the generated man pages (default is the subroutine's section .3)
1261 1261
1262 1262 MAN_EXTENSION = .3
1263 1263
1264 1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 1265 # then it will generate one additional man file for each entity
1266 1266 # documented in the real man page(s). These additional files
1267 1267 # only source the real man page, but without them the man command
1268 1268 # would be unable to find the correct page. The default is NO.
1269 1269
1270 1270 MAN_LINKS = NO
1271 1271
1272 1272 #---------------------------------------------------------------------------
1273 1273 # configuration options related to the XML output
1274 1274 #---------------------------------------------------------------------------
1275 1275
1276 1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 1277 # generate an XML file that captures the structure of
1278 1278 # the code including all documentation.
1279 1279
1280 1280 GENERATE_XML = NO
1281 1281
1282 1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 1284 # put in front of it. If left blank `xml' will be used as the default path.
1285 1285
1286 1286 XML_OUTPUT = xml
1287 1287
1288 1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 1289 # which can be used by a validating XML parser to check the
1290 1290 # syntax of the XML files.
1291 1291
1292 1292 XML_SCHEMA =
1293 1293
1294 1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 1295 # which can be used by a validating XML parser to check the
1296 1296 # syntax of the XML files.
1297 1297
1298 1298 XML_DTD =
1299 1299
1300 1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 1301 # dump the program listings (including syntax highlighting
1302 1302 # and cross-referencing information) to the XML output. Note that
1303 1303 # enabling this will significantly increase the size of the XML output.
1304 1304
1305 1305 XML_PROGRAMLISTING = YES
1306 1306
1307 1307 #---------------------------------------------------------------------------
1308 1308 # configuration options for the AutoGen Definitions output
1309 1309 #---------------------------------------------------------------------------
1310 1310
1311 1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 1313 # that captures the structure of the code including all
1314 1314 # documentation. Note that this feature is still experimental
1315 1315 # and incomplete at the moment.
1316 1316
1317 1317 GENERATE_AUTOGEN_DEF = NO
1318 1318
1319 1319 #---------------------------------------------------------------------------
1320 1320 # configuration options related to the Perl module output
1321 1321 #---------------------------------------------------------------------------
1322 1322
1323 1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 1324 # generate a Perl module file that captures the structure of
1325 1325 # the code including all documentation. Note that this
1326 1326 # feature is still experimental and incomplete at the
1327 1327 # moment.
1328 1328
1329 1329 GENERATE_PERLMOD = NO
1330 1330
1331 1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 1333 # to generate PDF and DVI output from the Perl module output.
1334 1334
1335 1335 PERLMOD_LATEX = NO
1336 1336
1337 1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 1339 # if you want to understand what is going on. On the other hand, if this
1340 1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 1341 # and Perl will parse it just the same.
1342 1342
1343 1343 PERLMOD_PRETTY = YES
1344 1344
1345 1345 # The names of the make variables in the generated doxyrules.make file
1346 1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 1347 # This is useful so different doxyrules.make files included by the same
1348 1348 # Makefile don't overwrite each other's variables.
1349 1349
1350 1350 PERLMOD_MAKEVAR_PREFIX =
1351 1351
1352 1352 #---------------------------------------------------------------------------
1353 1353 # Configuration options related to the preprocessor
1354 1354 #---------------------------------------------------------------------------
1355 1355
1356 1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 1357 # evaluate all C-preprocessor directives found in the sources and include
1358 1358 # files.
1359 1359
1360 1360 ENABLE_PREPROCESSING = YES
1361 1361
1362 1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 1363 # names in the source code. If set to NO (the default) only conditional
1364 1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366 1366
1367 1367 MACRO_EXPANSION = NO
1368 1368
1369 1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 1370 # then the macro expansion is limited to the macros specified with the
1371 1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372 1372
1373 1373 EXPAND_ONLY_PREDEF = NO
1374 1374
1375 1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377 1377
1378 1378 SEARCH_INCLUDES = YES
1379 1379
1380 1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 1381 # contain include files that are not input files but should be processed by
1382 1382 # the preprocessor.
1383 1383
1384 1384 INCLUDE_PATH =
1385 1385
1386 1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 1389 # be used.
1390 1390
1391 1391 INCLUDE_FILE_PATTERNS =
1392 1392
1393 1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 1394 # are defined before the preprocessor is started (similar to the -D option of
1395 1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 1396 # or name=definition (no spaces). If the definition and the = are
1397 1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 1398 # undefined via #undef or recursively expanded use the := operator
1399 1399 # instead of the = operator.
1400 1400
1401 1401 PREDEFINED =
1402 1402
1403 1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 1405 # The macro definition that is found in the sources will be used.
1406 1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407 1407
1408 1408 EXPAND_AS_DEFINED =
1409 1409
1410 1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 1413 # function macros are typically used for boiler-plate code, and will confuse
1414 1414 # the parser if not removed.
1415 1415
1416 1416 SKIP_FUNCTION_MACROS = YES
1417 1417
1418 1418 #---------------------------------------------------------------------------
1419 1419 # Configuration::additions related to external references
1420 1420 #---------------------------------------------------------------------------
1421 1421
1422 1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 1423 # Optionally an initial location of the external documentation
1424 1424 # can be added for each tagfile. The format of a tag file without
1425 1425 # this location is as follows:
1426 1426 # TAGFILES = file1 file2 ...
1427 1427 # Adding location for the tag files is done as follows:
1428 1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 1430 # URLs. If a location is present for each tag, the installdox tool
1431 1431 # does not have to be run to correct the links.
1432 1432 # Note that each tag file must have a unique name
1433 1433 # (where the name does NOT include the path)
1434 1434 # If a tag file is not located in the directory in which doxygen
1435 1435 # is run, you must also specify the path to the tagfile here.
1436 1436
1437 1437 TAGFILES =
1438 1438
1439 1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 1440 # a tag file that is based on the input files it reads.
1441 1441
1442 1442 GENERATE_TAGFILE =
1443 1443
1444 1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 1445 # in the class index. If set to NO only the inherited external classes
1446 1446 # will be listed.
1447 1447
1448 1448 ALLEXTERNALS = NO
1449 1449
1450 1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 1451 # in the modules index. If set to NO, only the current project's groups will
1452 1452 # be listed.
1453 1453
1454 1454 EXTERNAL_GROUPS = YES
1455 1455
1456 1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 1457 # interpreter (i.e. the result of `which perl').
1458 1458
1459 1459 PERL_PATH = /usr/bin/perl
1460 1460
1461 1461 #---------------------------------------------------------------------------
1462 1462 # Configuration options related to the dot tool
1463 1463 #---------------------------------------------------------------------------
1464 1464
1465 1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 1469 # fallback. It is recommended to install and use dot, since it yields more
1470 1470 # powerful graphs.
1471 1471
1472 1472 CLASS_DIAGRAMS = YES
1473 1473
1474 1474 # You can define message sequence charts within doxygen comments using the \msc
1475 1475 # command. Doxygen will then run the mscgen tool (see
1476 1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 1479 # default search path.
1480 1480
1481 1481 MSCGEN_PATH =
1482 1482
1483 1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 1484 # inheritance and usage relations if the target is undocumented
1485 1485 # or is not a class.
1486 1486
1487 1487 HIDE_UNDOC_RELATIONS = YES
1488 1488
1489 1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 1492 # have no effect if this option is set to NO (the default)
1493 1493
1494 HAVE_DOT = NO
1494 HAVE_DOT = YES
1495 1495
1496 1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 1498 # base this on the number of processors available in the system. You can set it
1499 1499 # explicitly to a value larger than 0 to get control over the balance
1500 1500 # between CPU load and processing speed.
1501 1501
1502 1502 DOT_NUM_THREADS = 0
1503 1503
1504 1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 1505 # directory and reference it in all dot files that doxygen generates. This
1506 1506 # font does not include all possible unicode characters however, so when you need
1507 1507 # these (or just want a differently looking font) you can specify the font name
1508 1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 1509 # which can be done by putting it in a standard location or by setting the
1510 1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 1511 # containing the font.
1512 1512
1513 1513 DOT_FONTNAME = FreeSans.ttf
1514 1514
1515 1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 1516 # The default size is 10pt.
1517 1517
1518 1518 DOT_FONTSIZE = 10
1519 1519
1520 1520 # By default doxygen will tell dot to use the output directory to look for the
1521 1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 1522 # different font using DOT_FONTNAME you can set the path where dot
1523 1523 # can find it using this tag.
1524 1524
1525 1525 DOT_FONTPATH =
1526 1526
1527 1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 1528 # will generate a graph for each documented class showing the direct and
1529 1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 1530 # the CLASS_DIAGRAMS tag to NO.
1531 1531
1532 1532 CLASS_GRAPH = YES
1533 1533
1534 1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 1535 # will generate a graph for each documented class showing the direct and
1536 1536 # indirect implementation dependencies (inheritance, containment, and
1537 1537 # class references variables) of the class with other documented classes.
1538 1538
1539 1539 COLLABORATION_GRAPH = YES
1540 1540
1541 1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 1542 # will generate a graph for groups, showing the direct groups dependencies
1543 1543
1544 1544 GROUP_GRAPHS = YES
1545 1545
1546 1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 1548 # Language.
1549 1549
1550 UML_LOOK = NO
1550 UML_LOOK = YES
1551 1551
1552 1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 1553 # relations between templates and their instances.
1554 1554
1555 1555 TEMPLATE_RELATIONS = NO
1556 1556
1557 1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 1559 # file showing the direct and indirect include dependencies of the file with
1560 1560 # other documented files.
1561 1561
1562 1562 INCLUDE_GRAPH = YES
1563 1563
1564 1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 1566 # documented header file showing the documented files that directly or
1567 1567 # indirectly include this file.
1568 1568
1569 1569 INCLUDED_BY_GRAPH = YES
1570 1570
1571 1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 1572 # doxygen will generate a call dependency graph for every global function
1573 1573 # or class method. Note that enabling this option will significantly increase
1574 1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 1575 # for selected functions only using the \callgraph command.
1576 1576
1577 1577 CALL_GRAPH = NO
1578 1578
1579 1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 1580 # doxygen will generate a caller dependency graph for every global function
1581 1581 # or class method. Note that enabling this option will significantly increase
1582 1582 # the time of a run. So in most cases it will be better to enable caller
1583 1583 # graphs for selected functions only using the \callergraph command.
1584 1584
1585 1585 CALLER_GRAPH = NO
1586 1586
1587 1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 1588 # will graphical hierarchy of all classes instead of a textual one.
1589 1589
1590 1590 GRAPHICAL_HIERARCHY = YES
1591 1591
1592 1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 1593 # then doxygen will show the dependencies a directory has on other directories
1594 1594 # in a graphical way. The dependency relations are determined by the #include
1595 1595 # relations between the files in the directories.
1596 1596
1597 1597 DIRECTORY_GRAPH = YES
1598 1598
1599 1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 1600 # generated by dot. Possible values are png, jpg, or gif
1601 1601 # If left blank png will be used.
1602 1602
1603 1603 DOT_IMAGE_FORMAT = png
1604 1604
1605 1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607 1607
1608 1608 DOT_PATH =
1609 1609
1610 1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 1611 # contain dot files that are included in the documentation (see the
1612 1612 # \dotfile command).
1613 1613
1614 1614 DOTFILE_DIRS =
1615 1615
1616 1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 1620 # number of direct children of the root node in a graph is already larger than
1621 1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623 1623
1624 1624 DOT_GRAPH_MAX_NODES = 50
1625 1625
1626 1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 1629 # that lay further from the root node will be omitted. Note that setting this
1630 1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 1631 # code bases. Also note that the size of a graph can be further restricted by
1632 1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633 1633
1634 1634 MAX_DOT_GRAPH_DEPTH = 0
1635 1635
1636 1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 1637 # background. This is disabled by default, because dot on Windows does not
1638 1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 1640 # a graph (i.e. they become hard to read).
1641 1641
1642 1642 DOT_TRANSPARENT = NO
1643 1643
1644 1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 1647 # support this, this feature is disabled by default.
1648 1648
1649 1649 DOT_MULTI_TARGETS = NO
1650 1650
1651 1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 1652 # generate a legend page explaining the meaning of the various boxes and
1653 1653 # arrows in the dot generated graphs.
1654 1654
1655 1655 GENERATE_LEGEND = YES
1656 1656
1657 1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 1658 # remove the intermediate dot files that are used to generate
1659 1659 # the various graphs.
1660 1660
1661 1661 DOT_CLEANUP = YES
@@ -1,42 +1,46
1 1 #------------------------------------------------------------------------------
2 2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 3 #-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
4 4 #--
5 5 #-- This program is free software; you can redistribute it and/or modify
6 6 #-- it under the terms of the GNU General Public License as published by
7 7 #-- the Free Software Foundation; either version 3 of the License, or
8 8 #-- (at your option) any later version.
9 9 #--
10 10 #-- This program is distributed in the hope that it will be useful,
11 11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 #-- GNU General Public License for more details.
14 14 #--
15 15 #-- You should have received a copy of the GNU General Public License
16 16 #-- along with this program; if not, write to the Free Software
17 17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 #------------------------------------------------------------------------------
19 19
20
20 .PHONY:doc
21 21
22 22 all:
23 23 mkdir -p lib/
24 24 mkdir -p includes/
25 25 make all -C libsrc
26 26 make all -C exemples
27 27
28 28 .PHONY:exemples lib
29 29
30 30 exemples:
31 31 make all -C exemples
32 32
33 33
34 34 lib:
35 35 mkdir -p lib/
36 36 mkdir -p includes/
37 37 make all -C libsrc
38 38
39 doc:
40 rm -R Doc/html/*
41 cp -R Doc/ressources/* Doc/html/
42 doxygen Doxyfile
39 43
40 44 clean:
41 45 rm lib/*
42 46 rm includes/*
@@ -1,132 +1,134
1 1 /*------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 -------------------------------------------------------------------------------*/
19 19 /*-- Author : Alexis Jeandet
20 20 -- Mail : alexis.jeandet@lpp.polytechnique.fr
21 21 ----------------------------------------------------------------------------*/
22 22 #ifndef LPP_APB_FUNCTIONS_H
23 23 #define LPP_APB_FUNCTIONS_H
24 24
25 25 #define APB_TBL_HEAD 0x800FF000 /**< Start address of APB devices list on AHB2APB bridge*/
26 26 #define APB_BASE_ADDRS 0x80000000 /**< Start address of APB bus*/
27 27 #define APB_MAX_DEVICES 256 /**< Maximun device count on APB bus*/
28 28
29 29 #include "apb_devices_list.h"
30 30
31 31
32 32 /*! \file lpp_apb_functions.h
33 33 \brief General purpose APB functions.
34 34
35 35 This library is written to work with AHB2APB VHDL module from Gaisler's GRLIB. It help you to find your device
36 36 on the APB bus by providing scan functions, it extract information such as device Version, IRQ value, Address mask.
37 37 You can use it to print the APB devices list on your SOC.
38 38
39 \author Alexis Jeandet
39 \author Alexis Jeandet alexis.jeandet@lpp.polytechnique.fr
40 40 \todo implemente a descriptor structure for any APB device
41
41 42 */
42 43
43 44
44 45 /*! \struct apbPnPreg
45 46 \brief Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature
46 47 */
47 48 struct apbPnPreg
48 49 {
49 50 int idReg; /**< \brief id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */
50 51 int bar; /**< \brief Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */
51 52 };
52 53
53 54
54 55 /*! \struct apbdevinfo
55 56 \brief Structure holding an APB device informations
56 57
57 58 This information are extracted from the descriptor registers on Grlib's AHB2APB brige with plug and play feature
58 59 */
59 60 struct apbdevinfo
60 61 {
61 62 int vendorID; /**< \brief Stores the Vendor ID of the current device */
62 63 int productID; /**< \brief Stores the Product ID of the current device */
63 64 int version; /**< \brief Stores the Version of the current device */
64 65 int irq; /**< \brief Stores the interrupt Number of the current device */
65 66 int address; /**< \brief Stores the base address of the current device */
66 67 int mask; /**< \brief Stores the address mask of the current device, it gives the address space of this device */
67 68 };
68 69
69 70
70 71
71 72
72 73 /*! \fn int* apbgetdevice(int PID,int VID,int count);
73 74 \brief Find device with given VID/PID
74 75
75 76 This Function scans APB devices table and returns counth device according to VID and PID
76 77
77 78 \param PID The PID of the device you whant to get.
78 79 \param VID The VID of the device you whant to get.
79 80 \param count The number of the device you whant to get. For example if you have 3 UARTS on your SOC you whant
80 81 to use UART1 so count = 2.
81 82
82 83 \return The pointer to the device.
83 84 */
84 85 int* apbgetdevice(int PID,int VID,int count);
85 86
86 87 /*! \fn void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo);
87 88 \brief Record device informations with given VID/PID
88 89
89 90 This Function scans APB devices table and returns counth device informations according VID and PID.
90 91
91 92 \param PID The PID of the device you whant to get.
92 93 \param VID The VID of the device you whant to get.
93 94 \param count The number of the device you whant to get. For example if you have 3 UARTS on your SOC you whant
94 95 to use UART1 so count = 2.
95 96 \param devinfo The device information structure to be populated.
97 \example scanAPB.c
96 98 */
97 99 void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo);
98 100
99 101
100 102 /*! \fn void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo);
101 103 \brief Record device informations with given AHB2APB Plugn'Play register.
102 104
103 105 This Function extract device informations from the given AHB2APB Plugn'Play register end write them in devinfo.
104 106
105 107 \param dev AHB2APB Plugn'Play register corresponding to the device.
106 108 \param devinfo The device information structure to be populated.
107 109 */
108 110 void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo);
109 111
110 112
111 113
112 114 /*! \fn void apbprintdeviceinfo(struct apbdevinfo devinfo);
113 115 \brief Print given device informations in stdout.
114 116
115 117 \param devinfo The device information structure to be printed.
116 118 */
117 119 void apbprintdeviceinfo(struct apbdevinfo devinfo);
118 120
119 121
120 122
121 123 /*! \fn void apbprintdeviceslist();
122 124 \brief Print APB devices informations in stdout.
123 125
124 126 This function list all devices on APB bus and print theirs informations.
125 127
126 128 */
127 129 void apbprintdeviceslist();
128 130
129 131
130 132
131 133 #endif // LPP_APB_FUNCTIONS_H
132 134
@@ -1,73 +1,76
1 1 SCRIPTSDIR=scripts/
2 2 LIBDIR=lib/
3 3 BOARDSDIR=boards/
4 4 DESIGNSDIR=designs/
5 5
6 6
7 7
8 8 .PHONY:doc
9 9
10 10
11 11 all: help
12 12
13 13 help:
14 14 @echo
15 15 @echo " batch targets:"
16 16 @echo
17 17 @echo " make Patch-GRLIB : install library into GRLIB at : $(GRLIB)"
18 18 @echo " make dist : create a tar file for using into an other computer"
19 19 @echo " make Patched-dist : create a tar file for with a patched grlib for using"
20 20 @echo " into an other computer"
21 21 @echo " make allGPL : add a GPL HEADER in all vhdl Files"
22 22 @echo " make init : add a GPL HEADER in all vhdl Files, init all files"
23 23 @echo " make doc : make documentation for VHDL IPs"
24 24 @echo " make pdf : make pdf documentation for VHDL IPs"
25 25 @echo " make C-libs : make C drivers for APB devices"
26 26 @echo " binary files availiable on VHD_Lib/LPP_DRIVERS/lib ./includes"
27 27 @echo
28 28
29 29
30 30
31 31 allGPL:
32 32 @echo "Scanning VHDL files ..."
33 33 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R vhd lib
34 34 @echo "Scanning C files ..."
35 35 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R c LPP_drivers
36 36 @echo "Scanning H files ..."
37 37 sh $(SCRIPTSDIR)/GPL_Patcher.sh -R h LPP_drivers
38 38
39 39 init: C-libs
40 40 sh $(SCRIPTSDIR)/vhdlsynPatcher.sh
41 41 sh $(SCRIPTSDIR)/makeDirs.sh lib/lpp
42 42
43 43 C-libs:APB_devs
44 44 make -C LPP_drivers
45 45
46 46
47 47 APB_devs:
48 48 sh $(SCRIPTSDIR)/APB_DEV_UPDATER.sh
49 49
50 50
51 51 Patch-GRLIB: init doc
52 52 sh $(SCRIPTSDIR)/patch.sh $(GRLIB)
53 53
54 54
55 55 dist: init
56 56 tar -cvzf ./../lpp-lib.tgz ./../VHD_Lib/*
57 57
58 58
59 59 Patched-dist: Patch-GRLIB
60 60 tar -cvzf ./../lpp-patched-GRLIB.tgz $(GRLIB)/*
61 61
62 62
63 63 doc:
64 cp doc/ressources/*.jpg doc/html/
65 cp doc/ressources/doxygen.css doc/html/
64 66 make -C lib/lpp doc
67 make -C LPP_drivers doc
65 68
66 69
67 70 pdf: doc
68 71 sh $(SCRIPTSDIR)/doc.sh
69 72
70 73
71 74
72 75
73 76
@@ -1,1661 +1,1661
1 1 # Doxyfile 1.7.1
2 2
3 3 # This file describes the settings to be used by the documentation system
4 4 # doxygen (www.doxygen.org) for a project
5 5 #
6 6 # All text after a hash (#) is considered a comment and will be ignored
7 7 # The format is:
8 8 # TAG = value [value, ...]
9 9 # For lists items can also be appended using:
10 10 # TAG += value [value, ...]
11 11 # Values that contain spaces should be placed between quotes (" ")
12 12
13 13 #---------------------------------------------------------------------------
14 14 # Project related configuration options
15 15 #---------------------------------------------------------------------------
16 16
17 17 # This tag specifies the encoding used for all characters in the config file
18 18 # that follow. The default is UTF-8 which is also the encoding used for all
19 19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 20 # iconv built into libc) for the transcoding. See
21 21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22 22
23 23 DOXYFILE_ENCODING = UTF-8
24 24
25 25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 26 # by quotes) that should identify the project.
27 27
28 28 PROJECT_NAME = lib-lpp
29 29
30 30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 31 # This could be handy for archiving the generated documentation or
32 32 # if some version control system is used.
33 33
34 PROJECT_NUMBER = 1.0
34 PROJECT_NUMBER = 0.4
35 35
36 36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 37 # base path where the generated documentation will be put.
38 38 # If a relative path is entered, it will be relative to the location
39 39 # where doxygen was started. If left blank the current directory will be used.
40 40
41 41 OUTPUT_DIRECTORY = ../../doc/
42 42
43 43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 45 # format and will distribute the generated files over these directories.
46 46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 47 # source files, where putting all generated files in the same directory would
48 48 # otherwise cause performance problems for the file system.
49 49
50 50 CREATE_SUBDIRS = NO
51 51
52 52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 53 # documentation generated by doxygen is written. Doxygen will use this
54 54 # information to generate all constant output in the proper language.
55 55 # The default language is English, other supported languages are:
56 56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62 62
63 63 OUTPUT_LANGUAGE = English
64 64
65 65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 66 # include brief member descriptions after the members that are listed in
67 67 # the file and class documentation (similar to JavaDoc).
68 68 # Set to NO to disable this.
69 69
70 70 BRIEF_MEMBER_DESC = YES
71 71
72 72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 73 # the brief description of a member or function before the detailed description.
74 74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 75 # brief descriptions will be completely suppressed.
76 76
77 77 REPEAT_BRIEF = YES
78 78
79 79 # This tag implements a quasi-intelligent brief description abbreviator
80 80 # that is used to form the text in various listings. Each string
81 81 # in this list, if found as the leading text of the brief description, will be
82 82 # stripped from the text and the result after processing the whole list, is
83 83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 84 # If left blank, the following values are used ("$name" is automatically
85 85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 86 # "The $name file" "is" "provides" "specifies" "contains"
87 87 # "represents" "a" "an" "the"
88 88
89 89 ABBREVIATE_BRIEF = "The $name class" \
90 90 "The $name widget" \
91 91 "The $name file" \
92 92 is \
93 93 provides \
94 94 specifies \
95 95 contains \
96 96 represents \
97 97 a \
98 98 an \
99 99 the
100 100
101 101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 102 # Doxygen will generate a detailed section even if there is only a brief
103 103 # description.
104 104
105 105 ALWAYS_DETAILED_SEC = NO
106 106
107 107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 108 # inherited members of a class in the documentation of that class as if those
109 109 # members were ordinary class members. Constructors, destructors and assignment
110 110 # operators of the base classes will not be shown.
111 111
112 112 INLINE_INHERITED_MEMB = NO
113 113
114 114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 115 # path before files name in the file list and in the header files. If set
116 116 # to NO the shortest path that makes the file name unique will be used.
117 117
118 118 FULL_PATH_NAMES = YES
119 119
120 120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 121 # can be used to strip a user-defined part of the path. Stripping is
122 122 # only done if one of the specified strings matches the left-hand part of
123 123 # the path. The tag can be used to show relative paths in the file list.
124 124 # If left blank the directory from which doxygen is run is used as the
125 125 # path to strip.
126 126
127 127 STRIP_FROM_PATH =
128 128
129 129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 130 # the path mentioned in the documentation of a class, which tells
131 131 # the reader which header file to include in order to use a class.
132 132 # If left blank only the name of the header file containing the class
133 133 # definition is used. Otherwise one should specify the include paths that
134 134 # are normally passed to the compiler using the -I flag.
135 135
136 136 STRIP_FROM_INC_PATH =
137 137
138 138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 139 # (but less readable) file names. This can be useful is your file systems
140 140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141 141
142 142 SHORT_NAMES = NO
143 143
144 144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 146 # comment as the brief description. If set to NO, the JavaDoc
147 147 # comments will behave just like regular Qt-style comments
148 148 # (thus requiring an explicit @brief command for a brief description.)
149 149
150 150 JAVADOC_AUTOBRIEF = NO
151 151
152 152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 153 # interpret the first line (until the first dot) of a Qt-style
154 154 # comment as the brief description. If set to NO, the comments
155 155 # will behave just like regular Qt-style comments (thus requiring
156 156 # an explicit \brief command for a brief description.)
157 157
158 158 QT_AUTOBRIEF = NO
159 159
160 160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 162 # comments) as a brief description. This used to be the default behaviour.
163 163 # The new default is to treat a multi-line C++ comment block as a detailed
164 164 # description. Set this tag to YES if you prefer the old behaviour instead.
165 165
166 166 MULTILINE_CPP_IS_BRIEF = NO
167 167
168 168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 169 # member inherits the documentation from any documented member that it
170 170 # re-implements.
171 171
172 172 INHERIT_DOCS = YES
173 173
174 174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 175 # a new page for each member. If set to NO, the documentation of a member will
176 176 # be part of the file/class/namespace that contains it.
177 177
178 178 SEPARATE_MEMBER_PAGES = NO
179 179
180 180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182 182
183 183 TAB_SIZE = 8
184 184
185 185 # This tag can be used to specify a number of aliases that acts
186 186 # as commands in the documentation. An alias has the form "name=value".
187 187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 189 # will result in a user-defined paragraph with heading "Side Effects:".
190 190 # You can put \n's in the value part of an alias to insert newlines.
191 191
192 192 ALIASES =
193 193
194 194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 195 # sources only. Doxygen will then generate output that is more tailored for C.
196 196 # For instance, some of the names that are used will be different. The list
197 197 # of all members will be omitted, etc.
198 198
199 199 OPTIMIZE_OUTPUT_FOR_C = NO
200 200
201 201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 202 # sources only. Doxygen will then generate output that is more tailored for
203 203 # Java. For instance, namespaces will be presented as packages, qualified
204 204 # scopes will look different, etc.
205 205
206 206 OPTIMIZE_OUTPUT_JAVA = NO
207 207
208 208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 209 # sources only. Doxygen will then generate output that is more tailored for
210 210 # Fortran.
211 211
212 212 OPTIMIZE_FOR_FORTRAN = NO
213 213
214 214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 215 # sources. Doxygen will then generate output that is tailored for
216 216 # VHDL.
217 217
218 218 OPTIMIZE_OUTPUT_VHDL = YES
219 219
220 220 # Doxygen selects the parser to use depending on the extension of the files it
221 221 # parses. With this tag you can assign which parser to use for a given extension.
222 222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 223 # tag. The format is ext=language, where ext is a file extension, and language
224 224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229 229
230 230 EXTENSION_MAPPING =
231 231
232 232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 233 # to include (a tag file for) the STL sources as input, then you should
234 234 # set this tag to YES in order to let doxygen match functions declarations and
235 235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 236 # func(std::string) {}). This also make the inheritance and collaboration
237 237 # diagrams that involve STL classes more complete and accurate.
238 238
239 239 BUILTIN_STL_SUPPORT = NO
240 240
241 241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 242 # enable parsing support.
243 243
244 244 CPP_CLI_SUPPORT = NO
245 245
246 246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 248 # instead of private inheritance when no explicit protection keyword is present.
249 249
250 250 SIP_SUPPORT = NO
251 251
252 252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 253 # and setter methods for a property. Setting this option to YES (the default)
254 254 # will make doxygen to replace the get and set methods by a property in the
255 255 # documentation. This will only work if the methods are indeed getting or
256 256 # setting a simple type. If this is not the case, or you want to show the
257 257 # methods anyway, you should set this option to NO.
258 258
259 259 IDL_PROPERTY_SUPPORT = YES
260 260
261 261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 263 # member in the group (if any) for the other members of the group. By default
264 264 # all members of a group must be documented explicitly.
265 265
266 266 DISTRIBUTE_GROUP_DOC = NO
267 267
268 268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 269 # the same type (for instance a group of public functions) to be put as a
270 270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 272 # the \nosubgrouping command.
273 273
274 274 SUBGROUPING = YES
275 275
276 276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 277 # is documented as struct, union, or enum with the name of the typedef. So
278 278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 280 # namespace, or class. And the struct will be named TypeS. This can typically
281 281 # be useful for C code in case the coding convention dictates that all compound
282 282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283 283
284 284 TYPEDEF_HIDES_STRUCT = NO
285 285
286 286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 287 # determine which symbols to keep in memory and which to flush to disk.
288 288 # When the cache is full, less often used symbols will be written to disk.
289 289 # For small to medium size projects (<1000 input files) the default value is
290 290 # probably good enough. For larger projects a too small cache size can cause
291 291 # doxygen to be busy swapping symbols to and from disk most of the time
292 292 # causing a significant performance penality.
293 293 # If the system has enough physical memory increasing the cache will improve the
294 294 # performance by keeping more symbols in memory. Note that the value works on
295 295 # a logarithmic scale so increasing the size by one will rougly double the
296 296 # memory usage. The cache size is given by this formula:
297 297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 298 # corresponding to a cache size of 2^16 = 65536 symbols
299 299
300 300 SYMBOL_CACHE_SIZE = 0
301 301
302 302 #---------------------------------------------------------------------------
303 303 # Build related configuration options
304 304 #---------------------------------------------------------------------------
305 305
306 306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 307 # documentation are documented, even if no documentation was available.
308 308 # Private class members and static file members will be hidden unless
309 309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310 310
311 311 EXTRACT_ALL = YES
312 312
313 313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 314 # will be included in the documentation.
315 315
316 316 EXTRACT_PRIVATE = NO
317 317
318 318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 319 # will be included in the documentation.
320 320
321 321 EXTRACT_STATIC = NO
322 322
323 323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 324 # defined locally in source files will be included in the documentation.
325 325 # If set to NO only classes defined in header files are included.
326 326
327 327 EXTRACT_LOCAL_CLASSES = YES
328 328
329 329 # This flag is only useful for Objective-C code. When set to YES local
330 330 # methods, which are defined in the implementation section but not in
331 331 # the interface are included in the documentation.
332 332 # If set to NO (the default) only methods in the interface are included.
333 333
334 334 EXTRACT_LOCAL_METHODS = NO
335 335
336 336 # If this flag is set to YES, the members of anonymous namespaces will be
337 337 # extracted and appear in the documentation as a namespace called
338 338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 339 # name of the file that contains the anonymous namespace. By default
340 340 # anonymous namespace are hidden.
341 341
342 342 EXTRACT_ANON_NSPACES = NO
343 343
344 344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 345 # undocumented members of documented classes, files or namespaces.
346 346 # If set to NO (the default) these members will be included in the
347 347 # various overviews, but no documentation section is generated.
348 348 # This option has no effect if EXTRACT_ALL is enabled.
349 349
350 350 HIDE_UNDOC_MEMBERS = NO
351 351
352 352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 353 # undocumented classes that are normally visible in the class hierarchy.
354 354 # If set to NO (the default) these classes will be included in the various
355 355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356 356
357 357 HIDE_UNDOC_CLASSES = NO
358 358
359 359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 360 # friend (class|struct|union) declarations.
361 361 # If set to NO (the default) these declarations will be included in the
362 362 # documentation.
363 363
364 364 HIDE_FRIEND_COMPOUNDS = NO
365 365
366 366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 367 # documentation blocks found inside the body of a function.
368 368 # If set to NO (the default) these blocks will be appended to the
369 369 # function's detailed documentation block.
370 370
371 371 HIDE_IN_BODY_DOCS = NO
372 372
373 373 # The INTERNAL_DOCS tag determines if documentation
374 374 # that is typed after a \internal command is included. If the tag is set
375 375 # to NO (the default) then the documentation will be excluded.
376 376 # Set it to YES to include the internal documentation.
377 377
378 378 INTERNAL_DOCS = NO
379 379
380 380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 381 # file names in lower-case letters. If set to YES upper-case letters are also
382 382 # allowed. This is useful if you have classes or files whose names only differ
383 383 # in case and if your file system supports case sensitive file names. Windows
384 384 # and Mac users are advised to set this option to NO.
385 385
386 386 CASE_SENSE_NAMES = NO
387 387
388 388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 389 # will show members with their full class and namespace scopes in the
390 390 # documentation. If set to YES the scope will be hidden.
391 391
392 392 HIDE_SCOPE_NAMES = NO
393 393
394 394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 395 # will put a list of the files that are included by a file in the documentation
396 396 # of that file.
397 397
398 398 SHOW_INCLUDE_FILES = YES
399 399
400 400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 401 # will list include files with double quotes in the documentation
402 402 # rather than with sharp brackets.
403 403
404 404 FORCE_LOCAL_INCLUDES = NO
405 405
406 406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 407 # is inserted in the documentation for inline members.
408 408
409 409 INLINE_INFO = YES
410 410
411 411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 412 # will sort the (detailed) documentation of file and class members
413 413 # alphabetically by member name. If set to NO the members will appear in
414 414 # declaration order.
415 415
416 416 SORT_MEMBER_DOCS = YES
417 417
418 418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 419 # brief documentation of file, namespace and class members alphabetically
420 420 # by member name. If set to NO (the default) the members will appear in
421 421 # declaration order.
422 422
423 423 SORT_BRIEF_DOCS = NO
424 424
425 425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 426 # will sort the (brief and detailed) documentation of class members so that
427 427 # constructors and destructors are listed first. If set to NO (the default)
428 428 # the constructors will appear in the respective orders defined by
429 429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432 432
433 433 SORT_MEMBERS_CTORS_1ST = NO
434 434
435 435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 437 # the group names will appear in their defined order.
438 438
439 439 SORT_GROUP_NAMES = NO
440 440
441 441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 442 # sorted by fully-qualified names, including namespaces. If set to
443 443 # NO (the default), the class list will be sorted only by class name,
444 444 # not including the namespace part.
445 445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 446 # Note: This option applies only to the class list, not to the
447 447 # alphabetical list.
448 448
449 449 SORT_BY_SCOPE_NAME = NO
450 450
451 451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 452 # disable (NO) the todo list. This list is created by putting \todo
453 453 # commands in the documentation.
454 454
455 455 GENERATE_TODOLIST = YES
456 456
457 457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 458 # disable (NO) the test list. This list is created by putting \test
459 459 # commands in the documentation.
460 460
461 461 GENERATE_TESTLIST = YES
462 462
463 463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 464 # disable (NO) the bug list. This list is created by putting \bug
465 465 # commands in the documentation.
466 466
467 467 GENERATE_BUGLIST = YES
468 468
469 469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 470 # disable (NO) the deprecated list. This list is created by putting
471 471 # \deprecated commands in the documentation.
472 472
473 473 GENERATE_DEPRECATEDLIST= YES
474 474
475 475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 476 # documentation sections, marked by \if sectionname ... \endif.
477 477
478 478 ENABLED_SECTIONS =
479 479
480 480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 481 # the initial value of a variable or define consists of for it to appear in
482 482 # the documentation. If the initializer consists of more lines than specified
483 483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 484 # The appearance of the initializer of individual variables and defines in the
485 485 # documentation can be controlled using \showinitializer or \hideinitializer
486 486 # command in the documentation regardless of this setting.
487 487
488 488 MAX_INITIALIZER_LINES = 30
489 489
490 490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 491 # at the bottom of the documentation of classes and structs. If set to YES the
492 492 # list will mention the files that were used to generate the documentation.
493 493
494 494 SHOW_USED_FILES = YES
495 495
496 496 # If the sources in your project are distributed over multiple directories
497 497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 498 # in the documentation. The default is NO.
499 499
500 500 SHOW_DIRECTORIES = NO
501 501
502 502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 503 # This will remove the Files entry from the Quick Index and from the
504 504 # Folder Tree View (if specified). The default is YES.
505 505
506 506 SHOW_FILES = YES
507 507
508 508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 510 # and from the Folder Tree View (if specified). The default is YES.
511 511
512 512 SHOW_NAMESPACES = YES
513 513
514 514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 515 # doxygen should invoke to get the current version for each file (typically from
516 516 # the version control system). Doxygen will invoke the program by executing (via
517 517 # popen()) the command <command> <input-file>, where <command> is the value of
518 518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 519 # provided by doxygen. Whatever the program writes to standard output
520 520 # is used as the file version. See the manual for examples.
521 521
522 522 FILE_VERSION_FILTER =
523 523
524 524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 525 # by doxygen. The layout file controls the global structure of the generated
526 526 # output files in an output format independent way. The create the layout file
527 527 # that represents doxygen's defaults, run doxygen with the -l option.
528 528 # You can optionally specify a file name after the option, if omitted
529 529 # DoxygenLayout.xml will be used as the name of the layout file.
530 530
531 531 LAYOUT_FILE =
532 532
533 533 #---------------------------------------------------------------------------
534 534 # configuration options related to warning and progress messages
535 535 #---------------------------------------------------------------------------
536 536
537 537 # The QUIET tag can be used to turn on/off the messages that are generated
538 538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539 539
540 540 QUIET = NO
541 541
542 542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 543 # generated by doxygen. Possible values are YES and NO. If left blank
544 544 # NO is used.
545 545
546 546 WARNINGS = YES
547 547
548 548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 550 # automatically be disabled.
551 551
552 552 WARN_IF_UNDOCUMENTED = YES
553 553
554 554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 555 # potential errors in the documentation, such as not documenting some
556 556 # parameters in a documented function, or documenting parameters that
557 557 # don't exist or using markup commands wrongly.
558 558
559 559 WARN_IF_DOC_ERROR = YES
560 560
561 561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 562 # functions that are documented, but have no documentation for their parameters
563 563 # or return value. If set to NO (the default) doxygen will only warn about
564 564 # wrong or incomplete parameter documentation, but not about the absence of
565 565 # documentation.
566 566
567 567 WARN_NO_PARAMDOC = NO
568 568
569 569 # The WARN_FORMAT tag determines the format of the warning messages that
570 570 # doxygen can produce. The string should contain the $file, $line, and $text
571 571 # tags, which will be replaced by the file and line number from which the
572 572 # warning originated and the warning text. Optionally the format may contain
573 573 # $version, which will be replaced by the version of the file (if it could
574 574 # be obtained via FILE_VERSION_FILTER)
575 575
576 576 WARN_FORMAT = "$file:$line: $text"
577 577
578 578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 579 # and error messages should be written. If left blank the output is written
580 580 # to stderr.
581 581
582 582 WARN_LOGFILE =
583 583
584 584 #---------------------------------------------------------------------------
585 585 # configuration options related to the input files
586 586 #---------------------------------------------------------------------------
587 587
588 588 # The INPUT tag can be used to specify the files and/or directories that contain
589 589 # documented source files. You may enter file names like "myfile.cpp" or
590 590 # directories like "/usr/src/myproject". Separate the files or directories
591 591 # with spaces.
592 592
593 593 INPUT = .
594 594
595 595 # This tag can be used to specify the character encoding of the source files
596 596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 599 # the list of possible encodings.
600 600
601 601 INPUT_ENCODING = UTF-8
602 602
603 603 # If the value of the INPUT tag contains directories, you can use the
604 604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 605 # and *.h) to filter out the source-files in the directories. If left
606 606 # blank the following patterns are tested:
607 607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609 609
610 610 FILE_PATTERNS = *.c \
611 611 *.cc \
612 612 *.cxx \
613 613 *.cpp \
614 614 *.c++ \
615 615 *.d \
616 616 *.java \
617 617 *.ii \
618 618 *.ixx \
619 619 *.ipp \
620 620 *.i++ \
621 621 *.inl \
622 622 *.h \
623 623 *.hh \
624 624 *.hxx \
625 625 *.hpp \
626 626 *.h++ \
627 627 *.idl \
628 628 *.odl \
629 629 *.cs \
630 630 *.php \
631 631 *.php3 \
632 632 *.inc \
633 633 *.m \
634 634 *.mm \
635 635 *.dox \
636 636 *.py \
637 637 *.f90 \
638 638 *.f \
639 639 *.vhd \
640 640 *.vhdl
641 641
642 642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 643 # should be searched for input files as well. Possible values are YES and NO.
644 644 # If left blank NO is used.
645 645
646 646 RECURSIVE = YES
647 647
648 648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 649 # excluded from the INPUT source files. This way you can easily exclude a
650 650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651 651
652 652 EXCLUDE =
653 653
654 654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 656 # from the input.
657 657
658 658 EXCLUDE_SYMLINKS = NO
659 659
660 660 # If the value of the INPUT tag contains directories, you can use the
661 661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 662 # certain files from those directories. Note that the wildcards are matched
663 663 # against the file with absolute path, so to exclude all test directories
664 664 # for example use the pattern */test/*
665 665
666 666 EXCLUDE_PATTERNS =
667 667
668 668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 670 # output. The symbol name can be a fully qualified name, a word, or if the
671 671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 672 # AClass::ANamespace, ANamespace::*Test
673 673
674 674 EXCLUDE_SYMBOLS =
675 675
676 676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 677 # directories that contain example code fragments that are included (see
678 678 # the \include command).
679 679
680 680 EXAMPLE_PATH =
681 681
682 682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 684 # and *.h) to filter out the source-files in the directories. If left
685 685 # blank all files are included.
686 686
687 687 EXAMPLE_PATTERNS = *
688 688
689 689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 690 # searched for input files to be used with the \include or \dontinclude
691 691 # commands irrespective of the value of the RECURSIVE tag.
692 692 # Possible values are YES and NO. If left blank NO is used.
693 693
694 694 EXAMPLE_RECURSIVE = NO
695 695
696 696 # The IMAGE_PATH tag can be used to specify one or more files or
697 697 # directories that contain image that are included in the documentation (see
698 698 # the \image command).
699 699
700 700 IMAGE_PATH =
701 701
702 702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 706 # input file. Doxygen will then use the output that the filter program writes
707 707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 708 # ignored.
709 709
710 710 INPUT_FILTER =
711 711
712 712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 713 # basis. Doxygen will compare the file name with each pattern and apply the
714 714 # filter if there is a match. The filters are a list of the form:
715 715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 717 # is applied to all files.
718 718
719 719 FILTER_PATTERNS =
720 720
721 721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 722 # INPUT_FILTER) will be used to filter the input files when producing source
723 723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724 724
725 725 FILTER_SOURCE_FILES = NO
726 726
727 727 #---------------------------------------------------------------------------
728 728 # configuration options related to source browsing
729 729 #---------------------------------------------------------------------------
730 730
731 731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 732 # be generated. Documented entities will be cross-referenced with these sources.
733 733 # Note: To get rid of all source code in the generated output, make sure also
734 734 # VERBATIM_HEADERS is set to NO.
735 735
736 SOURCE_BROWSER = NO
736 SOURCE_BROWSER = YES
737 737
738 738 # Setting the INLINE_SOURCES tag to YES will include the body
739 739 # of functions and classes directly in the documentation.
740 740
741 741 INLINE_SOURCES = NO
742 742
743 743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 744 # doxygen to hide any special comment blocks from generated source code
745 745 # fragments. Normal C and C++ comments will always remain visible.
746 746
747 747 STRIP_CODE_COMMENTS = YES
748 748
749 749 # If the REFERENCED_BY_RELATION tag is set to YES
750 750 # then for each documented function all documented
751 751 # functions referencing it will be listed.
752 752
753 753 REFERENCED_BY_RELATION = NO
754 754
755 755 # If the REFERENCES_RELATION tag is set to YES
756 756 # then for each documented function all documented entities
757 757 # called/used by that function will be listed.
758 758
759 759 REFERENCES_RELATION = NO
760 760
761 761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 764 # link to the source code. Otherwise they will link to the documentation.
765 765
766 766 REFERENCES_LINK_SOURCE = YES
767 767
768 768 # If the USE_HTAGS tag is set to YES then the references to source code
769 769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 770 # built-in source browser. The htags tool is part of GNU's global source
771 771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 772 # will need version 4.8.6 or higher.
773 773
774 774 USE_HTAGS = NO
775 775
776 776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 777 # will generate a verbatim copy of the header file for each class for
778 778 # which an include is specified. Set to NO to disable this.
779 779
780 780 VERBATIM_HEADERS = YES
781 781
782 782 #---------------------------------------------------------------------------
783 783 # configuration options related to the alphabetical class index
784 784 #---------------------------------------------------------------------------
785 785
786 786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 787 # of all compounds will be generated. Enable this if the project
788 788 # contains a lot of classes, structs, unions or interfaces.
789 789
790 790 ALPHABETICAL_INDEX = YES
791 791
792 792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 794 # in which this list will be split (can be a number in the range [1..20])
795 795
796 796 COLS_IN_ALPHA_INDEX = 5
797 797
798 798 # In case all classes in a project start with a common prefix, all
799 799 # classes will be put under the same header in the alphabetical index.
800 800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 801 # should be ignored while generating the index headers.
802 802
803 803 IGNORE_PREFIX =
804 804
805 805 #---------------------------------------------------------------------------
806 806 # configuration options related to the HTML output
807 807 #---------------------------------------------------------------------------
808 808
809 809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 810 # generate HTML output.
811 811
812 812 GENERATE_HTML = YES
813 813
814 814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 816 # put in front of it. If left blank `html' will be used as the default path.
817 817
818 818 HTML_OUTPUT = html
819 819
820 820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 822 # doxygen will generate files with .html extension.
823 823
824 824 HTML_FILE_EXTENSION = .html
825 825
826 826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 827 # each generated HTML page. If it is left blank doxygen will generate a
828 828 # standard header.
829 829
830 HTML_HEADER =
830 HTML_HEADER = ../../doc/ressources/Header
831 831
832 832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 833 # each generated HTML page. If it is left blank doxygen will generate a
834 834 # standard footer.
835 835
836 HTML_FOOTER =
836 HTML_FOOTER = ../../doc/ressources/Footer
837 837
838 838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 839 # style sheet that is used by each HTML page. It can be used to
840 840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 841 # will generate a default style sheet. Note that doxygen will try to copy
842 842 # the style sheet file to the HTML output directory, so don't put your own
843 843 # stylesheet in the HTML output directory as well, or it will be erased!
844 844
845 HTML_STYLESHEET =
845 HTML_STYLESHEET = ../../doc/ressources/doxygen.css
846 846
847 847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 848 # Doxygen will adjust the colors in the stylesheet and background images
849 849 # according to this color. Hue is specified as an angle on a colorwheel,
850 850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 853 # The allowed range is 0 to 359.
854 854
855 855 HTML_COLORSTYLE_HUE = 220
856 856
857 857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 858 # the colors in the HTML output. For a value of 0 the output will use
859 859 # grayscales only. A value of 255 will produce the most vivid colors.
860 860
861 861 HTML_COLORSTYLE_SAT = 100
862 862
863 863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 864 # the luminance component of the colors in the HTML output. Values below
865 865 # 100 gradually make the output lighter, whereas values above 100 make
866 866 # the output darker. The value divided by 100 is the actual gamma applied,
867 867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 868 # and 100 does not change the gamma.
869 869
870 870 HTML_COLORSTYLE_GAMMA = 80
871 871
872 872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 873 # page will contain the date and time when the page was generated. Setting
874 874 # this to NO can help when comparing the output of multiple runs.
875 875
876 876 HTML_TIMESTAMP = YES
877 877
878 878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 879 # files or namespaces will be aligned in HTML using tables. If set to
880 880 # NO a bullet list will be used.
881 881
882 882 HTML_ALIGN_MEMBERS = YES
883 883
884 884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 885 # documentation will contain sections that can be hidden and shown after the
886 886 # page has loaded. For this to work a browser that supports
887 887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889 889
890 890 HTML_DYNAMIC_SECTIONS = NO
891 891
892 892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 893 # will be generated that can be used as input for Apple's Xcode 3
894 894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 895 # To create a documentation set, doxygen will generate a Makefile in the
896 896 # HTML output directory. Running make will produce the docset in that
897 897 # directory and running "make install" will install the docset in
898 898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 899 # it at startup.
900 900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 901 # for more information.
902 902
903 903 GENERATE_DOCSET = NO
904 904
905 905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 906 # feed. A documentation feed provides an umbrella under which multiple
907 907 # documentation sets from a single provider (such as a company or product suite)
908 908 # can be grouped.
909 909
910 910 DOCSET_FEEDNAME = "Doxygen generated docs"
911 911
912 912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 913 # should uniquely identify the documentation set bundle. This should be a
914 914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 915 # will append .docset to the name.
916 916
917 917 DOCSET_BUNDLE_ID = org.doxygen.Project
918 918
919 919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 920 # the documentation publisher. This should be a reverse domain-name style
921 921 # string, e.g. com.mycompany.MyDocSet.documentation.
922 922
923 923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924 924
925 925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926 926
927 927 DOCSET_PUBLISHER_NAME = Publisher
928 928
929 929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 930 # will be generated that can be used as input for tools like the
931 931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 932 # of the generated HTML documentation.
933 933
934 934 GENERATE_HTMLHELP = NO
935 935
936 936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 937 # be used to specify the file name of the resulting .chm file. You
938 938 # can add a path in front of the file if the result should not be
939 939 # written to the html output directory.
940 940
941 941 CHM_FILE =
942 942
943 943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 944 # be used to specify the location (absolute path including file name) of
945 945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 946 # the HTML help compiler on the generated index.hhp.
947 947
948 948 HHC_LOCATION =
949 949
950 950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 951 # controls if a separate .chi index file is generated (YES) or that
952 952 # it should be included in the master .chm file (NO).
953 953
954 954 GENERATE_CHI = NO
955 955
956 956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 958 # content.
959 959
960 960 CHM_INDEX_ENCODING =
961 961
962 962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 963 # controls whether a binary table of contents is generated (YES) or a
964 964 # normal table of contents (NO) in the .chm file.
965 965
966 966 BINARY_TOC = NO
967 967
968 968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 969 # to the contents of the HTML help documentation and to the tree view.
970 970
971 971 TOC_EXPAND = NO
972 972
973 973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 975 # that can be used as input for Qt's qhelpgenerator to generate a
976 976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977 977
978 978 GENERATE_QHP = NO
979 979
980 980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 981 # be used to specify the file name of the resulting .qch file.
982 982 # The path specified is relative to the HTML output folder.
983 983
984 984 QCH_FILE =
985 985
986 986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 987 # Qt Help Project output. For more information please see
988 988 # http://doc.trolltech.com/qthelpproject.html#namespace
989 989
990 990 QHP_NAMESPACE = org.doxygen.Project
991 991
992 992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 993 # Qt Help Project output. For more information please see
994 994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995 995
996 996 QHP_VIRTUAL_FOLDER = doc
997 997
998 998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 999 # add. For more information please see
1000 1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001 1001
1002 1002 QHP_CUST_FILTER_NAME =
1003 1003
1004 1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 1005 # custom filter to add. For more information please see
1006 1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 1007 # Qt Help Project / Custom Filters</a>.
1008 1008
1009 1009 QHP_CUST_FILTER_ATTRS =
1010 1010
1011 1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 1012 # project's
1013 1013 # filter section matches.
1014 1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 1015 # Qt Help Project / Filter Attributes</a>.
1016 1016
1017 1017 QHP_SECT_FILTER_ATTRS =
1018 1018
1019 1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 1020 # be used to specify the location of Qt's qhelpgenerator.
1021 1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 1022 # .qhp file.
1023 1023
1024 1024 QHG_LOCATION =
1025 1025
1026 1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 1028 # plugin. To install this plugin and make it available under the help contents
1029 1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 1031 # the directory within the plugins directory should be the same as
1032 1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 1033 # the help appears.
1034 1034
1035 1035 GENERATE_ECLIPSEHELP = NO
1036 1036
1037 1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 1038 # the directory name containing the HTML and XML files should also have
1039 1039 # this name.
1040 1040
1041 1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042 1042
1043 1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 1044 # top of each HTML page. The value NO (the default) enables the index and
1045 1045 # the value YES disables it.
1046 1046
1047 1047 DISABLE_INDEX = NO
1048 1048
1049 1049 # This tag can be used to set the number of enum values (range [1..20])
1050 1050 # that doxygen will group on one line in the generated HTML documentation.
1051 1051
1052 1052 ENUM_VALUES_PER_LINE = 4
1053 1053
1054 1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 1055 # structure should be generated to display hierarchical information.
1056 1056 # If the tag value is set to YES, a side panel will be generated
1057 1057 # containing a tree-like index structure (just like the one that
1058 1058 # is generated for HTML Help). For this to work a browser that supports
1059 1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 1060 # Windows users are probably better off using the HTML help feature.
1061 1061
1062 GENERATE_TREEVIEW = NO
1062 GENERATE_TREEVIEW = YES
1063 1063
1064 1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066 1066
1067 1067 USE_INLINE_TREES = NO
1068 1068
1069 1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 1071 # is shown.
1072 1072
1073 1073 TREEVIEW_WIDTH = 250
1074 1074
1075 1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 1076 # links to external symbols imported via tag files in a separate window.
1077 1077
1078 1078 EXT_LINKS_IN_WINDOW = NO
1079 1079
1080 1080 # Use this tag to change the font size of Latex formulas included
1081 1081 # as images in the HTML documentation. The default is 10. Note that
1082 1082 # when you change the font size after a successful doxygen run you need
1083 1083 # to manually remove any form_*.png images from the HTML output directory
1084 1084 # to force them to be regenerated.
1085 1085
1086 1086 FORMULA_FONTSIZE = 10
1087 1087
1088 1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 1091 # Note that when changing this option you need to delete any form_*.png files
1092 1092 # in the HTML output before the changes have effect.
1093 1093
1094 1094 FORMULA_TRANSPARENT = YES
1095 1095
1096 1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 1097 # for the HTML output. The underlying search engine uses javascript
1098 1098 # and DHTML and should work on any modern browser. Note that when using
1099 1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 1101 # typically be disabled. For large projects the javascript based search engine
1102 1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103 1103
1104 1104 SEARCHENGINE = YES
1105 1105
1106 1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 1107 # implemented using a PHP enabled web server instead of at the web client
1108 1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 1109 # file to put on the web server. The advantage of the server
1110 1110 # based approach is that it scales better to large projects and allows
1111 1111 # full text search. The disadvances is that it is more difficult to setup
1112 1112 # and does not have live searching capabilities.
1113 1113
1114 1114 SERVER_BASED_SEARCH = NO
1115 1115
1116 1116 #---------------------------------------------------------------------------
1117 1117 # configuration options related to the LaTeX output
1118 1118 #---------------------------------------------------------------------------
1119 1119
1120 1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 1121 # generate Latex output.
1122 1122
1123 1123 GENERATE_LATEX = YES
1124 1124
1125 1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 1127 # put in front of it. If left blank `latex' will be used as the default path.
1128 1128
1129 1129 LATEX_OUTPUT = latex
1130 1130
1131 1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 1132 # invoked. If left blank `latex' will be used as the default command name.
1133 1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 1135 # Makefile that is written to the output directory.
1136 1136
1137 1137 LATEX_CMD_NAME = latex
1138 1138
1139 1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 1141 # default command name.
1142 1142
1143 1143 MAKEINDEX_CMD_NAME = makeindex
1144 1144
1145 1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 1146 # LaTeX documents. This may be useful for small projects and may help to
1147 1147 # save some trees in general.
1148 1148
1149 COMPACT_LATEX = NO
1149 COMPACT_LATEX = YES
1150 1150
1151 1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 1153 # executive. If left blank a4wide will be used.
1154 1154
1155 PAPER_TYPE = a4wide
1155 PAPER_TYPE = letter
1156 1156
1157 1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 1158 # packages that should be included in the LaTeX output.
1159 1159
1160 1160 EXTRA_PACKAGES =
1161 1161
1162 1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 1163 # the generated latex document. The header should contain everything until
1164 1164 # the first chapter. If it is left blank doxygen will generate a
1165 1165 # standard header. Notice: only use this tag if you know what you are doing!
1166 1166
1167 1167 LATEX_HEADER =
1168 1168
1169 1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 1171 # contain links (just like the HTML output) instead of page references
1172 1172 # This makes the output suitable for online browsing using a pdf viewer.
1173 1173
1174 1174 PDF_HYPERLINKS = YES
1175 1175
1176 1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 1178 # higher quality PDF documentation.
1179 1179
1180 1180 USE_PDFLATEX = YES
1181 1181
1182 1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 1184 # running if errors occur, instead of asking the user for help.
1185 1185 # This option is also used when generating formulas in HTML.
1186 1186
1187 1187 LATEX_BATCHMODE = NO
1188 1188
1189 1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 1191 # in the output.
1192 1192
1193 1193 LATEX_HIDE_INDICES = NO
1194 1194
1195 1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 1196 # source code with syntax highlighting in the LaTeX output.
1197 1197 # Note that which sources are shown also depends on other settings
1198 1198 # such as SOURCE_BROWSER.
1199 1199
1200 1200 LATEX_SOURCE_CODE = NO
1201 1201
1202 1202 #---------------------------------------------------------------------------
1203 1203 # configuration options related to the RTF output
1204 1204 #---------------------------------------------------------------------------
1205 1205
1206 1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 1208 # other RTF readers or editors.
1209 1209
1210 1210 GENERATE_RTF = NO
1211 1211
1212 1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215 1215
1216 1216 RTF_OUTPUT = rtf
1217 1217
1218 1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 1219 # RTF documents. This may be useful for small projects and may help to
1220 1220 # save some trees in general.
1221 1221
1222 1222 COMPACT_RTF = NO
1223 1223
1224 1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 1225 # will contain hyperlink fields. The RTF file will
1226 1226 # contain links (just like the HTML output) instead of page references.
1227 1227 # This makes the output suitable for online browsing using WORD or other
1228 1228 # programs which support those fields.
1229 1229 # Note: wordpad (write) and others do not support links.
1230 1230
1231 1231 RTF_HYPERLINKS = NO
1232 1232
1233 1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 1234 # config file, i.e. a series of assignments. You only have to provide
1235 1235 # replacements, missing definitions are set to their default value.
1236 1236
1237 1237 RTF_STYLESHEET_FILE =
1238 1238
1239 1239 # Set optional variables used in the generation of an rtf document.
1240 1240 # Syntax is similar to doxygen's config file.
1241 1241
1242 1242 RTF_EXTENSIONS_FILE =
1243 1243
1244 1244 #---------------------------------------------------------------------------
1245 1245 # configuration options related to the man page output
1246 1246 #---------------------------------------------------------------------------
1247 1247
1248 1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 1249 # generate man pages
1250 1250
1251 1251 GENERATE_MAN = YES
1252 1252
1253 1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 1255 # put in front of it. If left blank `man' will be used as the default path.
1256 1256
1257 1257 MAN_OUTPUT = man
1258 1258
1259 1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 1260 # the generated man pages (default is the subroutine's section .3)
1261 1261
1262 1262 MAN_EXTENSION = .3
1263 1263
1264 1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 1265 # then it will generate one additional man file for each entity
1266 1266 # documented in the real man page(s). These additional files
1267 1267 # only source the real man page, but without them the man command
1268 1268 # would be unable to find the correct page. The default is NO.
1269 1269
1270 1270 MAN_LINKS = NO
1271 1271
1272 1272 #---------------------------------------------------------------------------
1273 1273 # configuration options related to the XML output
1274 1274 #---------------------------------------------------------------------------
1275 1275
1276 1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 1277 # generate an XML file that captures the structure of
1278 1278 # the code including all documentation.
1279 1279
1280 1280 GENERATE_XML = NO
1281 1281
1282 1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 1284 # put in front of it. If left blank `xml' will be used as the default path.
1285 1285
1286 1286 XML_OUTPUT = xml
1287 1287
1288 1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 1289 # which can be used by a validating XML parser to check the
1290 1290 # syntax of the XML files.
1291 1291
1292 1292 XML_SCHEMA =
1293 1293
1294 1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 1295 # which can be used by a validating XML parser to check the
1296 1296 # syntax of the XML files.
1297 1297
1298 1298 XML_DTD =
1299 1299
1300 1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 1301 # dump the program listings (including syntax highlighting
1302 1302 # and cross-referencing information) to the XML output. Note that
1303 1303 # enabling this will significantly increase the size of the XML output.
1304 1304
1305 1305 XML_PROGRAMLISTING = YES
1306 1306
1307 1307 #---------------------------------------------------------------------------
1308 1308 # configuration options for the AutoGen Definitions output
1309 1309 #---------------------------------------------------------------------------
1310 1310
1311 1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 1313 # that captures the structure of the code including all
1314 1314 # documentation. Note that this feature is still experimental
1315 1315 # and incomplete at the moment.
1316 1316
1317 1317 GENERATE_AUTOGEN_DEF = NO
1318 1318
1319 1319 #---------------------------------------------------------------------------
1320 1320 # configuration options related to the Perl module output
1321 1321 #---------------------------------------------------------------------------
1322 1322
1323 1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 1324 # generate a Perl module file that captures the structure of
1325 1325 # the code including all documentation. Note that this
1326 1326 # feature is still experimental and incomplete at the
1327 1327 # moment.
1328 1328
1329 1329 GENERATE_PERLMOD = NO
1330 1330
1331 1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 1333 # to generate PDF and DVI output from the Perl module output.
1334 1334
1335 1335 PERLMOD_LATEX = NO
1336 1336
1337 1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 1339 # if you want to understand what is going on. On the other hand, if this
1340 1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 1341 # and Perl will parse it just the same.
1342 1342
1343 1343 PERLMOD_PRETTY = YES
1344 1344
1345 1345 # The names of the make variables in the generated doxyrules.make file
1346 1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 1347 # This is useful so different doxyrules.make files included by the same
1348 1348 # Makefile don't overwrite each other's variables.
1349 1349
1350 1350 PERLMOD_MAKEVAR_PREFIX =
1351 1351
1352 1352 #---------------------------------------------------------------------------
1353 1353 # Configuration options related to the preprocessor
1354 1354 #---------------------------------------------------------------------------
1355 1355
1356 1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 1357 # evaluate all C-preprocessor directives found in the sources and include
1358 1358 # files.
1359 1359
1360 1360 ENABLE_PREPROCESSING = YES
1361 1361
1362 1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 1363 # names in the source code. If set to NO (the default) only conditional
1364 1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366 1366
1367 1367 MACRO_EXPANSION = NO
1368 1368
1369 1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 1370 # then the macro expansion is limited to the macros specified with the
1371 1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372 1372
1373 1373 EXPAND_ONLY_PREDEF = NO
1374 1374
1375 1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377 1377
1378 1378 SEARCH_INCLUDES = YES
1379 1379
1380 1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 1381 # contain include files that are not input files but should be processed by
1382 1382 # the preprocessor.
1383 1383
1384 1384 INCLUDE_PATH =
1385 1385
1386 1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 1389 # be used.
1390 1390
1391 1391 INCLUDE_FILE_PATTERNS =
1392 1392
1393 1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 1394 # are defined before the preprocessor is started (similar to the -D option of
1395 1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 1396 # or name=definition (no spaces). If the definition and the = are
1397 1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 1398 # undefined via #undef or recursively expanded use the := operator
1399 1399 # instead of the = operator.
1400 1400
1401 1401 PREDEFINED =
1402 1402
1403 1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 1405 # The macro definition that is found in the sources will be used.
1406 1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407 1407
1408 1408 EXPAND_AS_DEFINED =
1409 1409
1410 1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 1413 # function macros are typically used for boiler-plate code, and will confuse
1414 1414 # the parser if not removed.
1415 1415
1416 1416 SKIP_FUNCTION_MACROS = YES
1417 1417
1418 1418 #---------------------------------------------------------------------------
1419 1419 # Configuration::additions related to external references
1420 1420 #---------------------------------------------------------------------------
1421 1421
1422 1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 1423 # Optionally an initial location of the external documentation
1424 1424 # can be added for each tagfile. The format of a tag file without
1425 1425 # this location is as follows:
1426 1426 # TAGFILES = file1 file2 ...
1427 1427 # Adding location for the tag files is done as follows:
1428 1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 1430 # URLs. If a location is present for each tag, the installdox tool
1431 1431 # does not have to be run to correct the links.
1432 1432 # Note that each tag file must have a unique name
1433 1433 # (where the name does NOT include the path)
1434 1434 # If a tag file is not located in the directory in which doxygen
1435 1435 # is run, you must also specify the path to the tagfile here.
1436 1436
1437 1437 TAGFILES =
1438 1438
1439 1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 1440 # a tag file that is based on the input files it reads.
1441 1441
1442 1442 GENERATE_TAGFILE =
1443 1443
1444 1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 1445 # in the class index. If set to NO only the inherited external classes
1446 1446 # will be listed.
1447 1447
1448 1448 ALLEXTERNALS = NO
1449 1449
1450 1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 1451 # in the modules index. If set to NO, only the current project's groups will
1452 1452 # be listed.
1453 1453
1454 1454 EXTERNAL_GROUPS = YES
1455 1455
1456 1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 1457 # interpreter (i.e. the result of `which perl').
1458 1458
1459 1459 PERL_PATH = /usr/bin/perl
1460 1460
1461 1461 #---------------------------------------------------------------------------
1462 1462 # Configuration options related to the dot tool
1463 1463 #---------------------------------------------------------------------------
1464 1464
1465 1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 1469 # fallback. It is recommended to install and use dot, since it yields more
1470 1470 # powerful graphs.
1471 1471
1472 CLASS_DIAGRAMS = YES
1472 CLASS_DIAGRAMS = NO
1473 1473
1474 1474 # You can define message sequence charts within doxygen comments using the \msc
1475 1475 # command. Doxygen will then run the mscgen tool (see
1476 1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 1479 # default search path.
1480 1480
1481 1481 MSCGEN_PATH =
1482 1482
1483 1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 1484 # inheritance and usage relations if the target is undocumented
1485 1485 # or is not a class.
1486 1486
1487 1487 HIDE_UNDOC_RELATIONS = YES
1488 1488
1489 1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 1492 # have no effect if this option is set to NO (the default)
1493 1493
1494 1494 HAVE_DOT = YES
1495 1495
1496 1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 1498 # base this on the number of processors available in the system. You can set it
1499 1499 # explicitly to a value larger than 0 to get control over the balance
1500 1500 # between CPU load and processing speed.
1501 1501
1502 1502 DOT_NUM_THREADS = 0
1503 1503
1504 1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 1505 # directory and reference it in all dot files that doxygen generates. This
1506 1506 # font does not include all possible unicode characters however, so when you need
1507 1507 # these (or just want a differently looking font) you can specify the font name
1508 1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 1509 # which can be done by putting it in a standard location or by setting the
1510 1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 1511 # containing the font.
1512 1512
1513 1513 DOT_FONTNAME = FreeSans.ttf
1514 1514
1515 1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 1516 # The default size is 10pt.
1517 1517
1518 1518 DOT_FONTSIZE = 10
1519 1519
1520 1520 # By default doxygen will tell dot to use the output directory to look for the
1521 1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 1522 # different font using DOT_FONTNAME you can set the path where dot
1523 1523 # can find it using this tag.
1524 1524
1525 1525 DOT_FONTPATH =
1526 1526
1527 1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 1528 # will generate a graph for each documented class showing the direct and
1529 1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 1530 # the CLASS_DIAGRAMS tag to NO.
1531 1531
1532 1532 CLASS_GRAPH = YES
1533 1533
1534 1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 1535 # will generate a graph for each documented class showing the direct and
1536 1536 # indirect implementation dependencies (inheritance, containment, and
1537 1537 # class references variables) of the class with other documented classes.
1538 1538
1539 1539 COLLABORATION_GRAPH = NO
1540 1540
1541 1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 1542 # will generate a graph for groups, showing the direct groups dependencies
1543 1543
1544 1544 GROUP_GRAPHS = YES
1545 1545
1546 1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 1548 # Language.
1549 1549
1550 UML_LOOK = NO
1550 UML_LOOK = YES
1551 1551
1552 1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 1553 # relations between templates and their instances.
1554 1554
1555 1555 TEMPLATE_RELATIONS = NO
1556 1556
1557 1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 1559 # file showing the direct and indirect include dependencies of the file with
1560 1560 # other documented files.
1561 1561
1562 1562 INCLUDE_GRAPH = YES
1563 1563
1564 1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 1566 # documented header file showing the documented files that directly or
1567 1567 # indirectly include this file.
1568 1568
1569 1569 INCLUDED_BY_GRAPH = YES
1570 1570
1571 1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 1572 # doxygen will generate a call dependency graph for every global function
1573 1573 # or class method. Note that enabling this option will significantly increase
1574 1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 1575 # for selected functions only using the \callgraph command.
1576 1576
1577 1577 CALL_GRAPH = NO
1578 1578
1579 1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 1580 # doxygen will generate a caller dependency graph for every global function
1581 1581 # or class method. Note that enabling this option will significantly increase
1582 1582 # the time of a run. So in most cases it will be better to enable caller
1583 1583 # graphs for selected functions only using the \callergraph command.
1584 1584
1585 1585 CALLER_GRAPH = NO
1586 1586
1587 1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 1588 # will graphical hierarchy of all classes instead of a textual one.
1589 1589
1590 1590 GRAPHICAL_HIERARCHY = YES
1591 1591
1592 1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 1593 # then doxygen will show the dependencies a directory has on other directories
1594 1594 # in a graphical way. The dependency relations are determined by the #include
1595 1595 # relations between the files in the directories.
1596 1596
1597 1597 DIRECTORY_GRAPH = YES
1598 1598
1599 1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 1600 # generated by dot. Possible values are png, jpg, or gif
1601 1601 # If left blank png will be used.
1602 1602
1603 1603 DOT_IMAGE_FORMAT = png
1604 1604
1605 1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607 1607
1608 1608 DOT_PATH =
1609 1609
1610 1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 1611 # contain dot files that are included in the documentation (see the
1612 1612 # \dotfile command).
1613 1613
1614 1614 DOTFILE_DIRS =
1615 1615
1616 1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 1620 # number of direct children of the root node in a graph is already larger than
1621 1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623 1623
1624 1624 DOT_GRAPH_MAX_NODES = 50
1625 1625
1626 1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 1629 # that lay further from the root node will be omitted. Note that setting this
1630 1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 1631 # code bases. Also note that the size of a graph can be further restricted by
1632 1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633 1633
1634 1634 MAX_DOT_GRAPH_DEPTH = 0
1635 1635
1636 1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 1637 # background. This is disabled by default, because dot on Windows does not
1638 1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 1640 # a graph (i.e. they become hard to read).
1641 1641
1642 1642 DOT_TRANSPARENT = NO
1643 1643
1644 1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 1647 # support this, this feature is disabled by default.
1648 1648
1649 1649 DOT_MULTI_TARGETS = NO
1650 1650
1651 1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 1652 # generate a legend page explaining the meaning of the various boxes and
1653 1653 # arrows in the dot generated graphs.
1654 1654
1655 1655 GENERATE_LEGEND = YES
1656 1656
1657 1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 1658 # remove the intermediate dot files that are used to generate
1659 1659 # the various graphs.
1660 1660
1661 1661 DOT_CLEANUP = YES
@@ -1,101 +1,110
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 -------------------------------------------------------------------------------
19 19 -- Author : Alexis Jeandet
20 20 -- Mail : alexis.jeandet@lpp.polytechnique.fr
21 21 ----------------------------------------------------------------------------
22 22 library IEEE;
23 23 use IEEE.STD_LOGIC_1164.ALL;
24 24 library lpp;
25 25 use lpp.lpp_ad_conv.all;
26 26 use lpp.general_purpose.Clk_divider;
27 27
28
29 --! \brief AD7688 driver, generates all needed signal to drive this ADC.
30 --!
31 --! \author Alexis Jeandet alexis.jeandet@lpp.polytechnique.fr
32
28 33 entity AD7688_drvr is
29 generic(ChanelCount : integer;
30 clkkHz : integer);
31 Port ( clk : in STD_LOGIC;
32 reset : in STD_LOGIC;
33 smplClk: in STD_LOGIC;
34 DataReady : out std_logic;
35 smpout : out Samples_out(ChanelCount-1 downto 0);
36 AD_in : in AD7688_in(ChanelCount-1 downto 0);
37 AD_out : out AD7688_out);
34 generic(
35 ChanelCount :integer; --! Number of ADC you whant to drive
36 clkkHz :integer --! System clock frequency in kHz usefull to generate some pulses with good width.
37 );
38 Port(
39 clk : in STD_LOGIC; --! System clock
40 reset : in STD_LOGIC; --! System reset
41 smplClk : in STD_LOGIC; --! Sampling clock
42 DataReady : out std_logic; --! New sample available
43 smpout : out Samples_out(ChanelCount-1 downto 0); --! Samples
44 AD_in : in AD7688_in(ChanelCount-1 downto 0); --! Input signals for ADC see lpp.lpp_ad_conv
45 AD_out : out AD7688_out --! Output signals for ADC see lpp.lpp_ad_conv
46 );
38 47 end AD7688_drvr;
39 48
40 49 architecture ar_AD7688_drvr of AD7688_drvr is
41 50
42 constant convTrigger : integer:= clkkHz*16/10000; --tconv = 1.6µs
51 constant convTrigger : integer:= clkkHz*16/10000; --tconv = 1.6µs
43 52
44 signal i : integer range 0 to convTrigger :=0;
45 signal clk_int : std_logic;
46 signal smplClk_reg : std_logic;
47 signal cnv_int : std_logic;
53 signal i : integer range 0 to convTrigger :=0;
54 signal clk_int : std_logic;
55 signal smplClk_reg : std_logic;
56 signal cnv_int : std_logic;
48 57
49 58 begin
50 59
51 60 clkdiv: if clkkHz>=66000 generate
52 clkdivider: Clk_divider
53 generic map(clkkHz*1000,60000000)
54 Port map( clk ,reset,clk_int);
55 end generate;
56
57 clknodiv: if clkkHz<66000 generate
58 nodiv: clk_int <= clk;
61 clkdivider: Clk_divider
62 generic map(clkkHz*1000,60000000)
63 Port map( clk ,reset,clk_int);
59 64 end generate;
60 65
61 AD_out.CNV <= cnv_int;
62 AD_out.SCK <= clk_int;
66 clknodiv: if clkkHz<66000 generate
67 nodiv: clk_int <= clk;
68 end generate;
69
70 AD_out.CNV <= cnv_int;
71 AD_out.SCK <= clk_int;
63 72
64 73
65 74 sckgen: process(clk,reset)
66 75 begin
67 if reset = '0' then
68 i <= 0;
69 cnv_int <= '0';
70 smplClk_reg <= '0';
71 elsif clk'event and clk = '1' then
72 if smplClk = '1' and smplClk_reg = '0' then
73 if i = convTrigger then
74 smplClk_reg <= '1';
75 i <= 0;
76 cnv_int <= '0';
77 else
78 i <= i+1;
79 cnv_int <= '1';
80 end if;
81 elsif smplClk = '0' and smplClk_reg = '1' then
82 smplClk_reg <= '0';
83 end if;
84 end if;
76 if reset = '0' then
77 i <= 0;
78 cnv_int <= '0';
79 smplClk_reg <= '0';
80 elsif clk'event and clk = '1' then
81 if smplClk = '1' and smplClk_reg = '0' then
82 if i = convTrigger then
83 smplClk_reg <= '1';
84 i <= 0;
85 cnv_int <= '0';
86 else
87 i <= i+1;
88 cnv_int <= '1';
89 end if;
90 elsif smplClk = '0' and smplClk_reg = '1' then
91 smplClk_reg <= '0';
92 end if;
93 end if;
85 94 end process;
86 95
87 96
88 97
89 98 spidrvr: AD7688_spi_if
90 generic map(ChanelCount)
91 Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout);
99 generic map(ChanelCount)
100 Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout);
92 101
93 102
94 103
95 104 end ar_AD7688_drvr;
96 105
97 106
98 107
99 108
100 109
101 110
@@ -1,146 +1,147
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 ------------------------------------------------------------------------------
19 19 -- Author : Martin Morlot
20 20 -- Mail : martin.morlot@lpp.polytechnique.fr
21 21 ------------------------------------------------------------------------------
22 22 library ieee;
23 23 use ieee.std_logic_1164.all;
24 24 library grlib;
25 25 use grlib.amba.all;
26 26 use grlib.stdlib.all;
27 27 use grlib.devices.all;
28 28 library lpp;
29 29 use lpp.lpp_amba.all;
30 30 use lpp.apb_devices_list.all;
31 31 use lpp.lpp_uart.all;
32 32
33 --! Driver APB, va faire le lien entre l'IP VHDL de l'UART et le bus Amba
33 --! This is an APB UART you should use it with a processor as UART and drive it with its register over AMBA bus.
34 --! \author Martin Morlot martin.morlot@lpp.polytechnique.fr
34 35
35 36 entity APB_UART is
36 37 generic (
37 38 pindex : integer := 0;
38 39 paddr : integer := 0;
39 40 pmask : integer := 16#fff#;
40 41 pirq : integer := 0;
41 42 abits : integer := 8;
42 43 Data_sz : integer := 8);
43 44 port (
44 clk : in std_logic; --! Horloge du composant
45 rst : in std_logic; --! Reset general du composant
46 apbi : in apb_slv_in_type; --! Registre de gestion des entr�es du bus
47 apbo : out apb_slv_out_type; --! Registre de gestion des sorties du bus
48 TXD : out std_logic; --! Transmission s�rie, c�t� composant
49 RXD : in std_logic --! Reception s�rie, c�t� composant
45 clk : in std_logic; --! System clock
46 rst : in std_logic; --! System reset
47 apbi : in apb_slv_in_type; --! APB input signals see grlib.amba package
48 apbo : out apb_slv_out_type; --! APB input signals see grlib.amba package
49 TXD : out std_logic; --! UART Transmission pin
50 RXD : in std_logic --! UART Reception pin
50 51 );
51 52 end APB_UART;
52 53
53 54
54 55 architecture ar_APB_UART of APB_UART is
55 56
56 57 constant REVISION : integer := 1;
57 58
58 59 constant pconfig : apb_config_type := (
59 60 0 => ahb_device_reg (VENDOR_LPP, LPP_UART, 0, REVISION, 0),
60 61 1 => apb_iobar(paddr, pmask));
61 62
62 63 signal NwData : std_logic;
63 64 signal ACK : std_logic;
64 65 signal Capture : std_logic;
65 66 signal Send : std_logic;
66 67 signal Sended : std_logic;
67 68
68 69 type UART_ctrlr_Reg is record
69 70 UART_Cfg : std_logic_vector(2 downto 0);
70 71 UART_Wdata : std_logic_vector(7 downto 0);
71 72 UART_Rdata : std_logic_vector(7 downto 0);
72 73 UART_BTrig : std_logic_vector(11 downto 0);
73 74 end record;
74 75
75 76 signal Rec : UART_ctrlr_Reg;
76 77 signal Rdata : std_logic_vector(31 downto 0);
77 78 signal temp_ND : std_logic;
78 79
79 80 begin
80 81
81 82 Capture <= Rec.UART_Cfg(0);
82 83 Rec.UART_Cfg(1) <= Sended;
83 84 Rec.UART_Cfg(2) <= NwData;
84 85
85 86
86 87 COM0 : UART
87 88 generic map (Data_sz)
88 89 port map (clk,rst,TXD,RXD,Capture,NwData,ACK,Send,Sended,Rec.UART_BTrig,Rec.UART_Rdata,Rec.UART_Wdata);
89 90
90 91
91 92 process(rst,clk)
92 93 begin
93 94 if(rst='0')then
94 95 Rec.UART_Wdata <= (others => '0');
95 96
96 97
97 98 elsif(clk'event and clk='1')then
98 99 temp_ND <= NwData;
99 100 if(NwData='1' and temp_ND='1')then
100 101 ACK <= '1';
101 102 else
102 103 ACK <= '0';
103 104 end if;
104 105
105 106 --APB Write OP
106 107 if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
107 108 case apbi.paddr(7 downto 2) is
108 109 when "000000" =>
109 110 Rec.UART_Cfg(0) <= apbi.pwdata(0);
110 111 when "000001" =>
111 112 Rec.UART_Wdata(7 downto 0) <= apbi.pwdata(7 downto 0);
112 113 Send <= '1';
113 114 when others =>
114 115 null;
115 116 end case;
116 117 else
117 118 Send <= '0';
118 119 end if;
119 120
120 121 --APB READ OP
121 122 if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then
122 123 case apbi.paddr(7 downto 2) is
123 124 when "000000" =>
124 125 Rdata(3 downto 0) <= "000" & Rec.UART_Cfg(0);
125 126 Rdata(7 downto 4) <= "000" & Rec.UART_Cfg(1);
126 127 Rdata(11 downto 8) <= "000" & Rec.UART_Cfg(2);
127 128 Rdata(19 downto 12) <= X"EE";
128 129 Rdata(31 downto 20) <= Rec.UART_BTrig;
129 130 when "000001" =>
130 131 Rdata(31 downto 8) <= X"EEEEEE";
131 132 Rdata(7 downto 0) <= Rec.UART_Wdata;
132 133 when "000010" =>
133 134 Rdata(31 downto 8) <= X"EEEEEE";
134 135 Rdata(7 downto 0) <= Rec.UART_Rdata;
135 136 when others =>
136 137 Rdata <= (others => '0');
137 138 end case;
138 139 end if;
139 140
140 141 end if;
141 142 apbo.pconfig <= pconfig;
142 143 end process;
143 144
144 145 apbo.prdata <= Rdata when apbi.penable = '1';
145 146
146 147 end ar_APB_UART;
@@ -1,102 +1,104
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 -------------------------------------------------------------------------------
19 19 -- Author : Alexis Jeandet
20 20 -- Mail : alexis.jeandet@lpp.polytechnique.fr
21 21 ----------------------------------------------------------------------------
22 22 library IEEE;
23 23 use IEEE.numeric_std.all;
24 24 use IEEE.std_logic_1164.all;
25 25
26 --! Generateur de Bauds
26 --! This is an automatic Baud generator. To synchronize baudrate, it measure the smalest time between two transitions of RXD. So to set baud rate, the device connected to this UART should send at least one data such as 0xA5 (0b10100101) witch gives a lot of transition of one bit length.
27
28 --! \author Alexis Jeandet alexis.jeandet@lpp.polytechnique.fr
27 29
28 30 entity BaudGen is
29 31
30 32 port(
31 clk : in std_logic;
32 reset : in std_logic;
33 Capture : in std_logic;
34 Bclk : out std_logic;
35 RXD : in std_logic;
36 BTrigger : out std_logic_vector(11 downto 0)
33 clk : in std_logic; --! System clock
34 reset : in std_logic; --! System reset
35 Capture : in std_logic; --! baudrate reset so if you want to synchronize again the baudrate generator, usefull if you whant to decrease speed.
36 Bclk : out std_logic; --! Output baud clock
37 RXD : in std_logic; --! UART Reception pin used to sample baudrate
38 BTrigger : out std_logic_vector(11 downto 0) --! Current value of the frequency divider
37 39 );
38 40 end BaudGen;
39 41
40 42
41 43 architecture ar_BaudGen of BaudGen is
42 44 signal cpt : std_logic_vector(11 downto 0) := (others => '0');
43 45 signal errorFlag : std_logic;
44 46 signal triger : std_logic_vector(11 downto 0) := (others => '0');
45 47 signal RX_reg : std_logic:='1';
46 48
47 49 begin
48 50
49 51
50 52 BTrigger <= triger;
51 53
52 54
53 55 BaudGeneration:
54 56 process(clk,reset)
55 57 begin
56 58 if reset = '0' then
57 59 cpt <= (others => '0');
58 60 triger <= (others => '1');
59 61 errorFlag <= '0';
60 62 elsif clk'event and clk = '1'then
61 63 RX_reg <= RXD;
62 64 if capture = '1' then
63 65 cpt <= (others => '0');
64 66 triger <= (others => '1');
65 67 errorFlag <= '0';
66 68 else
67 69 if RX_reg /= RXD then
68 70 cpt <= (others => '0');
69 71 if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then
70 72 errorFlag <= '1';
71 73 elsif errorFlag = '1' then
72 74 triger <= cpt;
73 75 errorFlag <= '0';
74 76 else
75 77 errorFlag <= '1';
76 78 end if;
77 79 else
78 80 if cpt = triger then
79 81 cpt <= (others => '0');
80 82 errorFlag <= '0';
81 83 else
82 84 cpt <= std_logic_vector(unsigned(cpt) + 1);
83 85 end if;
84 86 end if;
85 87 end if;
86 88 end if;
87 89 end process;
88 90
89 91
90 92 process(clk)
91 93 begin
92 94 if clk'event and clk = '1' then
93 95 if cpt = std_logic_vector(TO_UNSIGNED(0,12)) then
94 96 Bclk <= '0';
95 97 elsif cpt = '0' & triger(11 downto 1) then
96 98 Bclk <= '1';
97 99 end if;
98 100 end if;
99 101 end process;
100 102
101 103
102 104 end ar_BaudGen;
@@ -1,115 +1,117
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 -------------------------------------------------------------------------------
19 19 -- Author : Alexis Jeandet
20 20 -- Mail : alexis.jeandet@lpp.polytechnique.fr
21 21 ----------------------------------------------------------------------------
22 22 library IEEE;
23 23 use IEEE.numeric_std.all;
24 24 use IEEE.std_logic_1164.all;
25 25
26 --! Gestion Reception/Transmission
26 --! \brief Universal shift register can be used to serialize or deserialize data.
27 --!
28 --! \Author Alexis Jeandet alexis.jeandet@lpp.polytechnique.fr
29 --! \todo move to general purpose library, explain more in detail the code and add some schematic in doc.
27 30
28 31 entity Shift_REG is
29 generic(Data_sz : integer := 10);
32 generic(
33 Data_sz : integer := 10 --! Width of the shift register
34 );
30 35 port(
31 clk : in std_logic;
32 Sclk : in std_logic;
33 reset : in std_logic;
34 SIN : in std_logic;
35 SOUT : out std_logic;
36 Serialize : in std_logic;
37 Serialized : out std_logic;
38 D : in std_logic_vector(Data_sz-1 downto 0);
39 Q : out std_logic_vector(Data_sz-1 downto 0)
36 clk : in std_logic; --! System clock
37 Sclk : in std_logic; --! Serial clock
38 reset : in std_logic; --! System reset
39 SIN : in std_logic; --! Serial data in
40 SOUT : out std_logic; --! Serial data out
41 Serialize : in std_logic; --! Launch serialization
42 Serialized : out std_logic; --! Serialization complete
43 D : in std_logic_vector(Data_sz-1 downto 0); --! Parallel data to be shifted out
44 Q : out std_logic_vector(Data_sz-1 downto 0) --! Unserialized data
40 45 );
41 46 end entity;
42 47
43 48
44 49 architecture ar_Shift_REG of Shift_REG is
45 50
46 51 signal REG : std_logic_vector(Data_sz-1 downto 0);
47 52 signal Serialized_int : std_logic;
48 53 signal Serialize_reg : std_logic;
49 54 signal Serial_reg : std_logic;
50 55 signal CptBits : std_logic_vector(Data_sz-1 downto 0);
51 56 constant CptBits_trig : std_logic_vector(Data_sz-1 downto 0) := (others => '1');
52 57 signal CptBits_flag : std_logic;
53 58 signal CptBits_flag_reg : std_logic;
54 59
55 60 begin
56 61
57 62 Serialized <= Serialized_int;
58 63 CptBits_flag <= '1' when CptBits = CptBits_trig else '0';
59 64
60 65 process(reset,clk)
61 66 begin
62 67 if reset = '0' then
63 68 Serialized_int <= '1';
64 69 CptBits_flag_reg <= '0';
65 70 Serial_reg <= '0';
66 71 Q <= (others => '0');
67 72 elsif clk'event and clk = '1' then
68 73 CptBits_flag_reg <= CptBits_flag;
69 74 Serial_reg <= Serialize;
70 75
71 76 if CptBits_flag = '1' and CptBits_flag_reg = '0' then
72 77 Serialized_int <= '1';
73 78 Q <= REG;
74 79 elsif(Serial_reg='0' and Serialize='1')then
75 80 Serialized_int <= '0';
76 81 end if;
77 82 end if;
78 83 end process;
79 84
80 85
81 86 process(reset,Sclk)
82 87 begin
83 88 if reset = '0' then
84 89 CptBits <= (others => '0');
85 90 REG <= (others => '0');
86 91 SOUT <= '1';
87 92 Serialize_reg <= '0';
88 93 elsif Sclk'event and Sclk = '1' then
89 94 Serialize_reg <= Serialized_int;
90 95 if (Serialized_int = '0' and Serialize_reg ='1') then
91 96 REG <= SIN & D(Data_sz-1 downto 1);
92 97 SOUT <= D(0);
93 -- elsif CptBits_flag ='1' then
94 -- REG <= SIN & D(Data_sz-1 downto 1);
95 -- SOUT <= D(0);
96 98 elsif Serialized_int = '0' then
97 99 REG <= SIN & REG(Data_sz-1 downto 1);
98 100 SOUT <= REG(0);
99 101 else
100 102 SOUT <= '1';
101 103 end if;
102 104 if Serialized_int = '0' then
103 105 if CptBits_flag = '1' then
104 106 CptBits <= (others => '0');
105 107 else
106 108 CptBits <= '1' & CptBits(Data_sz-1 downto 1);
107 109 end if;
108 110 else
109 111 CptBits <= (others => '0');
110 112 end if;
111 113
112 114 end if;
113 115 end process;
114 116
115 117 end ar_Shift_REG;
@@ -1,106 +1,106
1 1 ------------------------------------------------------------------------------
2 2 -- This file is a part of the LPP VHDL IP LIBRARY
3 3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 4 --
5 5 -- This program is free software; you can redistribute it and/or modify
6 6 -- it under the terms of the GNU General Public License as published by
7 7 -- the Free Software Foundation; either version 3 of the License, or
8 8 -- (at your option) any later version.
9 9 --
10 10 -- This program is distributed in the hope that it will be useful,
11 11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 13 -- GNU General Public License for more details.
14 14 --
15 15 -- You should have received a copy of the GNU General Public License
16 16 -- along with this program; if not, write to the Free Software
17 17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 18 -------------------------------------------------------------------------------
19 19 -- Author : Alexis Jeandet
20 20 -- Mail : alexis.jeandet@lpp.polytechnique.fr
21 21 ----------------------------------------------------------------------------
22 22 library IEEE;
23 23 use IEEE.numeric_std.all;
24 24 use IEEE.std_logic_1164.all;
25 25 library lpp;
26 26 use lpp.lpp_uart.all;
27 27
28 --! Programme qui va gerer toute la communication entre le PC et le FPGA
28 --! \brief A general purpose UART with automatic baudrate
29 --!
30 --! \author Alexis Jeandet alexis.jeandet@lpp.polytechnique.fr
29 31
30 32 entity UART is
31 generic(Data_sz : integer := 8); --! Constante de taille pour un mot de donnee
33 generic(Data_sz : integer := 8); --! Data width
32 34 port(
33 clk : in std_logic; --! Horloge a 25Mhz du systeme
34 reset : in std_logic; --! Reset du systeme
35 TXD : out std_logic; --! Transmission, cote PC
36 RXD : in std_logic; --! Reception, cote PC
37 Capture : in std_logic; --! "Reset" cible pour le generateur de bauds, ici indissocie du reset global
38 NwDat : out std_logic; --! Flag, Nouvelle donnee presente
39 ACK : in std_logic; --! Flag, Reponse au flag precedent
40 Send : in std_logic; --! Flag, Demande d'envoi sur le bus
41 Sended : out std_logic; --! Flag, Envoi termine
42 BTrigger : out std_logic_vector(11 downto 0); --! Registre contenant la valeur du diviseur de frequence pour la transmission
43 RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Mot de donnee en provenance de l'utilisateur
44 WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Mot de donnee a transmettre a l'utilisateur
35 clk : in std_logic; --! System clock
36 reset : in std_logic; --! System reset
37 TXD : out std_logic; --! UART Transmission pin
38 RXD : in std_logic; --! UART Reception pin
39 Capture : in std_logic; --! Automatic baudrate module reset
40 NwDat : out std_logic; --! New data flag, means that a new data have been received by the UART
41 ACK : in std_logic; --! Acknowledge flag to clear NwDat flag
42 Send : in std_logic; --! To send a data you have to set this flag
43 Sended : out std_logic; --! When this flag is set you can sed a new data
44 BTrigger : out std_logic_vector(11 downto 0); --! Baudrate generator current value, could be usefull if you whant to know the current value of the baudrate or of the oscillator (it suppose that you know baudrate)
45 RDATA : out std_logic_vector(Data_sz-1 downto 0); --! Current read word
46 WDATA : in std_logic_vector(Data_sz-1 downto 0) --! Put here the word you whant to send
45 47 );
46 48 end entity;
47 49
48 --! @details Gestion de la Reception/Transmission donc de la Vectorisation/Serialisation
49 --! ainsi que la detection et le reglage de le frequence de transmission optimale sur le bus (Generateur de Bauds)
50
50
51 51 architecture ar_UART of UART is
52 52 signal Bclk : std_logic;
53 53
54 54 signal RDATA_int : std_logic_vector(Data_sz+1 downto 0);
55 55 signal WDATA_int : std_logic_vector(Data_sz+1 downto 0);
56 56
57 57 signal TXD_Dummy : std_logic;
58 58 signal NwDat_int : std_logic;
59 59 signal NwDat_int_reg : std_logic;
60 60 signal receive : std_logic;
61 61 constant zeroVect : std_logic_vector(Data_sz+1 downto 0) := (others => '0');
62 62
63 63 begin
64 64
65 65
66 66
67 67 WDATA_int <= '1' & WDATA & '0';
68 68
69 69 BaudGenerator : entity work.BaudGen
70 70 port map(clk,reset,Capture,Bclk,RXD,BTrigger);
71 71
72 72
73 73 RX_REG : entity work.Shift_REG
74 74 generic map(Data_sz+2)
75 75 port map(clk,Bclk,reset,RXD,TXD_Dummy,receive,NwDat_int,zeroVect,RDATA_int);
76 76
77 77 TX_REG : entity work.Shift_REG
78 78 generic map(Data_sz+2)
79 79 port map(clk,Bclk,reset,'1',TXD,Send,Sended,WDATA_int);
80 80
81 81
82 82
83 83 process(clk,reset)
84 84 begin
85 85 if reset = '0' then
86 86 NwDat <= '0';
87 87 elsif clk'event and clk = '1' then
88 88 NwDat_int_reg <= NwDat_int;
89 89 if RXD = '1' and NwDat_int = '1' then
90 90 receive <= '0';
91 91 elsif RXD = '0' then
92 92 receive <= '1';
93 93 end if;
94 94 if NwDat_int_reg = '0' and NwDat_int = '1' then
95 95 NwDat <= '1';
96 96 RDATA <= RDATA_int(8 downto 1);
97 97 elsif ack = '1' then
98 98 NwDat <= '0';
99 99 end if;
100 100 end if;
101 101 end process;
102 102
103 103 end ar_UART;
104 104
105 105
106 106
General Comments 0
You need to be logged in to leave comments. Login now