##// END OF EJS Templates
Update TAG connexion (cross UART link)
Update TAG connexion (cross UART link)

File last commit:

r230:61f51a42947c JC
r343:db9610d754c6 (LFR-EM) WFP_MS_0-1-9b JC
Show More
vhdlsyn.txt
7 lines | 144 B | text/plain | TextLexer
pellion
Synthesis File Updated
r229 lpp_dma_pkg.vhd
Added ICI4 designs....
r129 fifo_latency_correction.vhd
pellion
Synthesis File Updated
r229 lpp_dma.vhd
Added ICI4 designs....
r129 lpp_dma_ip.vhd
lpp_dma_send_16word.vhd
pellion@stage-ps1.lab-lpp.local
LPP DMA v1.0.0
r101 lpp_dma_send_1word.vhd
pellion
sauvegarde modif waveform picker
r230 lpp_dma_singleOrBurst.vhd