##// END OF EJS Templates
save
save

File last commit:

r90:a9b0b725b939 martin
r90:a9b0b725b939 martin
Show More
lpp_memory.vhd
253 lines | 8.2 KiB | text/x-vhdl | VhdlLexer
martin
APB interface modified (FIFO,FFT)
r53 ------------------------------------------------------------------------------
-- This file is a part of the LPP VHDL IP LIBRARY
-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- Author : Martin Morlot
-- Mail : martin.morlot@lpp.polytechnique.fr
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use std.textio.all;
library lpp;
use lpp.lpp_amba.all;
--! Package contenant tous les programmes qui forment le composant int�gr� dans le l�on
package lpp_memory is
--===========================================================|
martin
IP FFT modified/cleaned, FFT C Driver added
r65 --=================== FIFO Compl�te =========================|
martin
APB interface modified (FIFO,FFT)
r53 --===========================================================|
component APB_FIFO is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
abits : integer := 8;
Data_sz : integer := 16;
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port (
clk : in std_logic;
rst : in std_logic;
apbi : in apb_slv_in_type;
martin
Light Leon version "TEST-LEON-M7-LPP"...
r79 Full : out std_logic;
Empty : out std_logic;
WR : out std_logic;
RE : out std_logic;
martin
APB interface modified (FIFO,FFT)
r53 apbo : out apb_slv_out_type
);
end component;
component ApbDriver is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
abits : integer := 8;
LPP_DEVICE : integer;
Data_sz : integer := 16;
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port (
clk : in std_logic;
rst : in std_logic;
martin
Light Leon version "TEST-LEON-M7-LPP"...
r79 ReadEnable : out std_logic;
WriteEnable : out std_logic;
martin
APB interface modified (FIFO,FFT)
r53 FlagEmpty : in std_logic;
FlagFull : in std_logic;
martin
update delay function
r87 -- ReUse : out std_logic;
-- Lock : out std_logic;
-- RstMem : out std_logic;
martin
APB interface modified (FIFO,FFT)
r53 DataIn : out std_logic_vector(Data_sz-1 downto 0);
DataOut : in std_logic_vector(Data_sz-1 downto 0);
AddrIn : in std_logic_vector(Addr_sz-1 downto 0);
AddrOut : in std_logic_vector(Addr_sz-1 downto 0);
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end component;
component Top_FIFO is
generic(
Data_sz : integer := 16;
martin
fixed bug on UART
r62 Addr_sz : integer := 8;
addr_max_int : integer := 256
);
martin
APB interface modified (FIFO,FFT)
r53 port(
martin
IP FFT modified/cleaned, FFT C Driver added
r65 clk,raz : in std_logic;
flag_RE : in std_logic;
flag_WR : in std_logic;
martin
update delay function
r87 -- ReUse : in std_logic;
-- Lock : in std_logic;
-- RstMem : in std_logic;
martin
IP FFT modified/cleaned, FFT C Driver added
r65 Data_in : in std_logic_vector(Data_sz-1 downto 0);
Addr_RE : out std_logic_vector(addr_sz-1 downto 0);
Addr_WR : out std_logic_vector(addr_sz-1 downto 0);
full : out std_logic;
empty : out std_logic;
Data_out : out std_logic_vector(Data_sz-1 downto 0)
martin
APB interface modified (FIFO,FFT)
r53 );
end component;
component Fifo_Read is
generic(
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port(
clk : in std_logic;
raz : in std_logic;
flag_RE : in std_logic;
martin
update delay function
r87 -- ReUse : in std_logic;
martin
APB interface modified (FIFO,FFT)
r53 Waddr : in std_logic_vector(addr_sz-1 downto 0);
empty : out std_logic;
Raddr : out std_logic_vector(addr_sz-1 downto 0)
);
end component;
component Fifo_Write is
generic(
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port(
clk : in std_logic;
raz : in std_logic;
flag_WR : in std_logic;
Raddr : in std_logic_vector(addr_sz-1 downto 0);
full : out std_logic;
Waddr : out std_logic_vector(addr_sz-1 downto 0)
);
end component;
martin
update delay function
r87 component Pipeline is
martin
APB interface modified (FIFO,FFT)
r53 generic(Data_sz : integer := 16);
port(
clk,raz : in std_logic;
Data_one : in std_logic_vector(Data_sz-1 downto 0);
Data_two : in std_logic_vector(Data_sz-1 downto 0);
martin
update delay function
r87 -- ReUse : in std_logic;
martin
APB interface modified (FIFO,FFT)
r53 flag_RE : in std_logic;
flag_WR : in std_logic;
empty : in std_logic;
Data_out : out std_logic_vector(Data_sz-1 downto 0)
);
end component;
--===========================================================|
martin
IP FFT modified/cleaned, FFT C Driver added
r65 --================= Demi FIFO Ecriture ======================|
martin
APB interface modified (FIFO,FFT)
r53 --===========================================================|
component APB_FifoWrite is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
abits : integer := 8;
Data_sz : integer := 16;
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port (
clk : in std_logic;
rst : in std_logic;
apbi : in apb_slv_in_type;
martin
APB_MATRIX, second version /!\ not stable /!\
r75 ReadEnable : in std_logic;
martin
Spectral Matrix Okai, with 5 input fifo
r77 Empty : out std_logic;
martin
Light Leon version "TEST-LEON-M7-LPP"...
r79 Full : out std_logic;
martin
APB_MATRIX, second version /!\ not stable /!\
r75 DATA : out std_logic_vector(Data_sz-1 downto 0);
martin
APB interface modified (FIFO,FFT)
r53 apbo : out apb_slv_out_type
);
end component;
martin
APB_MATRIX, second version /!\ not stable /!\
r75 --component Top_FifoWrite is
-- generic(
-- Data_sz : integer := 16;
-- Addr_sz : integer := 8;
-- addr_max_int : integer := 256);
-- port(
-- clk : in std_logic;
-- raz : in std_logic;
-- flag_RE : in std_logic;
-- flag_WR : in std_logic;
-- Data_in : in std_logic_vector(Data_sz-1 downto 0);
-- Raddr : in std_logic_vector(addr_sz-1 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- Waddr : out std_logic_vector(addr_sz-1 downto 0);
-- Data_out : out std_logic_vector(Data_sz-1 downto 0)
-- );
--end component;
martin
APB interface modified (FIFO,FFT)
r53
--===========================================================|
martin
IP FFT modified/cleaned, FFT C Driver added
r65 --================== Demi FIFO Lecture ======================|
martin
APB interface modified (FIFO,FFT)
r53 --===========================================================|
component APB_FifoRead is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
abits : integer := 8;
Data_sz : integer := 16;
Addr_sz : integer := 8;
addr_max_int : integer := 256);
port (
clk : in std_logic;
rst : in std_logic;
apbi : in apb_slv_in_type;
martin
Spectral Matrix Okai, with 5 input fifo
r77 WriteEnable : in std_logic;
martin
save
r90 RE : out std_logic;
martin
Light Leon version "TEST-LEON-M7-LPP"...
r79 Full : out std_logic;
Empty : out std_logic;
DATA : in std_logic_vector(Data_sz-1 downto 0);
martin
APB interface modified (FIFO,FFT)
r53 apbo : out apb_slv_out_type
);
end component;
martin
APB_MATRIX, second version /!\ not stable /!\
r75 --component Top_FifoRead is
-- generic(
-- Data_sz : integer := 16;
-- Addr_sz : integer := 8;
-- addr_max_int : integer := 256);
-- port(
-- clk : in std_logic;
-- raz : in std_logic;
-- flag_RE : in std_logic;
-- flag_WR : in std_logic;
-- Data_in : in std_logic_vector(Data_sz-1 downto 0);
-- Waddr : in std_logic_vector(addr_sz-1 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- Raddr : out std_logic_vector(addr_sz-1 downto 0);
-- Data_out : out std_logic_vector(Data_sz-1 downto 0)
-- );
--end component;
martin
APB interface modified (FIFO,FFT)
r53
end;