##// END OF EJS Templates
Update DMA : split IP DMA and Reg AHB.
Update DMA : split IP DMA and Reg AHB.

File last commit:

r124:f7d30b8fef72 JC
r124:f7d30b8fef72 JC
Show More
vhdlsyn.txt
8 lines | 155 B | text/plain | TextLexer
lpp_dma_pkg.vhd
fifo_test_dma.vhd
fifo_latency_correction.vhd
lpp_dma_send_1word.vhd
lpp_dma_send_16word.vhd
lpp_dma_apbreg.vhd
lpp_dma_ip.vhd
lpp_dma.vhd