##// END OF EJS Templates
Fixed bug, now minor and major frame pulses have the good width....
Fixed bug, now minor and major frame pulses have the good width. (one sck period and not one word clock period)

File last commit:

r168:0b190be76d60 alexis
r222:b37e19fe4c0b alexis
Show More
ICI4-Main-BD.ucf
11 lines | 559 B | text/plain | TextLexer
NET "CLK" LOC = "B10";
NET "RESET" LOC = "A5" | IOSTANDARD = LVTTL;
NET "SCLK" LOC = "V22" | IOSTANDARD = LVTTL;
NET "GATE" LOC = "T22" | IOSTANDARD = LVTTL;
NET "MINF" LOC = "T21" | IOSTANDARD = LVTTL;
NET "MAJF" LOC = "U22" | IOSTANDARD = LVTTL;
NET "DATA" LOC = "V21";
NET "DC_ADC_SCLK" LOC = "AB17";
NET "DC_ADC_IN(0)" LOC = "AB19" | IOSTANDARD = LVTTL;
NET "DC_ADC_IN(1)" LOC = "AA18" | IOSTANDARD = LVTTL;
NET "DC_ADC_FSynch" LOC = "AB18";