##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r543:cacd7252f42c JC
r598:a4da461dd67d simu_with_Leon3
Show More
run.do
9 lines | 89 B | text/x-stata | StataLexer
vcom -quiet -93 -work work tb.vhd
vsim work.testbench
log -r *
do wave.do
run -all