##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r521:ed390d662fa9 (MINI-LFR) WFP_MS-0-1-56 (LFR-EM) WFP_MS_1-1-56 JC
r681:9d85f9f8f05a default
Show More
vhdlsyn.txt
11 lines | 186 B | text/plain | TextLexer
cic_pkg.vhd
cic.vhd
cic_integrator.vhd
cic_downsampler.vhd
cic_comb.vhd
cic_lfr.vhd
cic_lfr_control.vhd
cic_lfr_add_sub.vhd
cic_lfr_address_gen.vhd
cic_lfr_r2.vhd
cic_lfr_control_r2.vhd