##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r217:13429b36c676 alexis
r681:9d85f9f8f05a default
Show More
top.rc
7 lines | 228 B | text/x-stsrc | TextLexer
set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma"
include compile.rc
read_hdl -vhdl -lib work config.vhd
read_hdl -vhdl -lib work ahbrom.vhd
read_hdl -vhdl -lib work leon3mp.vhd
elaborate top