##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r219:df1aff8cd31b alexis
r220:9cd9574d2765 alexis
Show More
Makefile.inc
18 lines | 314 B | text/x-povray | MakefileLexer
TECHNOLOGY=PROASIC3
PACKAGE=\"\"
SPEED=Std
SYNFREQ=50
PART=A3PE1500
DESIGNER_PACKAGE=PQFF
DESIGNER_PINS=208
DESIGNER_VOLTAGE=COM
DESIGNER_TEMP=COM
MANUFACTURER=Actel
MGCPART=$(PART)
MGCTECHNOLOGY=ProASIC3E
MGCPACKAGE= {$(DESIGNER_PINS)$(DESIGNER_PACKAGE)}
LIBERO_DIE=IT10X10M3
LIBERO_PACKAGE=pq$(DESIGNER_PINS)