##// END OF EJS Templates
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen....
ICI4 EGSE doesn't need anymore actell PLL uses gaisler clkgen. Solved in place design developpment problem on Windows, look at EGSE_ICI makefile.

File last commit:

r219:df1aff8cd31b alexis
r220:9cd9574d2765 alexis
Show More
Makefile.inc
18 lines | 314 B | text/x-povray | MakefileLexer
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 TECHNOLOGY=PROASIC3
PACKAGE=\"\"
SPEED=Std
SYNFREQ=50
PART=A3PE1500
Alexis Jeandet
ICI4 EGSE now working, need some more cleaning.
r219 DESIGNER_PACKAGE=PQFF
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 DESIGNER_PINS=208
DESIGNER_VOLTAGE=COM
DESIGNER_TEMP=COM
MANUFACTURER=Actel
MGCPART=$(PART)
Alexis Jeandet
ICI4 EGSE now working, need some more cleaning.
r219 MGCTECHNOLOGY=ProASIC3E
MGCPACKAGE= {$(DESIGNER_PINS)$(DESIGNER_PACKAGE)}
LIBERO_DIE=IT10X10M3
LIBERO_PACKAGE=pq$(DESIGNER_PINS)
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217