##// END OF EJS Templates
Update MINI LFR constraint's files
Update MINI LFR constraint's files

File last commit:

r543:cacd7252f42c JC
r638:905b2664a745 simu_with_Leon3
Show More
run_add_sub.do
12 lines | 248 B | text/x-stata | StataLexer
vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_pkg.vhd
vcom -quiet -93 -work lpp ../../lib/lpp/dsp/cic/cic_lfr_add_sub.vhd
vcom -quiet -93 -work work tb_cic_lfr_add_sub.vhd
vsim work.testbench
log -r *
do wave_add_sub.do
run -all