##// END OF EJS Templates
Correction de la FSM qui regule les données entrant dans la FFT
Correction de la FSM qui regule les données entrant dans la FFT

File last commit:

r543:cacd7252f42c JC
r557:7faec0eb9fbb (MINI-LFR) WFP_MS-0-1-67 (LFR-EM) WFP_MS_1-1-67 JC
Show More
run_calc.do
10 lines | 100 B | text/x-stata | StataLexer
vcom -quiet -93 -work work tb_calc.vhd
vsim work.testbench
log -r *
do wave_calc.do
run -all