##// END OF EJS Templates
1.1.88...
1.1.88 correction of RHF1401 driver with filter and freq divider

File last commit:

r218:8124d5736ed6 alexis
r627:704290a32e3d simu_with_Leon3
Show More
top.xise
1759 lines | 87.9 KiB | text/plain | TextLexer
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by GRLIB script generator -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
</header>
<version xil_pn:ise_version="11.1" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="../../boards/GSE_ICI/top.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../../lib/grlib/stdlib/version.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/stdlib/config.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/stdlib/stdlib.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/stdlib/stdio.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/stdlib/testlib.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/util/util.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/modgen/multlib.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/modgen/leaves.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/amba.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/devices.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/defmst.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/apbctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/ahbctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/dma2ahb_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/dma2ahb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/dma2ahb_tp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/grlib/amba/amba_tp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="grlib"/>
</file>
<file xil_pn:name="../../lib/tech/proasic3/components/proasic3.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="proasic3"/>
</file>
<file xil_pn:name="../../lib/tech/dware/simprims/DWpackages.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="dware"/>
</file>
<file xil_pn:name="../../lib/tech/dware/simprims/DW_Foundation_arith.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="dware"/>
</file>
<file xil_pn:name="../../lib/tech/dware/simprims/DW_Foundation_comp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="dware"/>
</file>
<file xil_pn:name="../../lib/tech/dware/simprims/DW_Foundation_comp_arith.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="dware"/>
</file>
<file xil_pn:name="../../lib/synplify/sim/synplify.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="synplify"/>
</file>
<file xil_pn:name="../../lib/synplify/sim/synattr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="synplify"/>
</file>
<file xil_pn:name="../../lib/techmap/gencomp/gencomp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/gencomp/netcomp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/inferred/memory_inferred.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/inferred/ddr_inferred.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/inferred/mul_inferred.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/inferred/ddr_phy_inferred.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/allclkgen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/allddr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/allmem.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/allmul.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/allpads.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/alltap.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/clkgen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/clkmux.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/clkand.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/ddr_ireg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/ddr_oreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/ddrphy.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram64.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram_2p.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram_dp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncfifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/regfile_3p.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/tap.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/techbuf.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/nandtree.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/clkpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/clkpad_ds.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/inpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/inpad_ds.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/iodpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/iopad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/iopad_ds.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/lvds_combo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/odpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/outpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/outpad_ds.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/toutpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/skew_outpad.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grspwc_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grspwc2_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grlfpw_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grfpw_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/leon4_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/mul_61x61.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/cpu_disas_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grusbhc_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/ringosc.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/ssrctrl_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/system_monitor.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/grgates.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/inpad_ddr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/outpad_ddr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/iopad_ddr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram128bw.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram128.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/syncram156bw.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/techmult.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/spictrl_net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/techmap/maps/scanreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="techmap"/>
</file>
<file xil_pn:name="../../lib/opencores/occomp/occomp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="opencores"/>
</file>
<file xil_pn:name="../../lib/gaisler/arith/arith.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/arith/mul32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/arith/div32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/memctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/sdctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/sdctrl64.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/sdmctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/srctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/memctrl/spimctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/misc.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/rstgen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/gptimer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbdpram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbtrace.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbtrace_mb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbtrace_mmb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbmst.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/grgpio.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbstat.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/logan.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/apbps2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/charrom_package.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/charrom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/apbvga.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/svgactrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/i2cmst_gen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/spictrlx.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/spictrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/i2cslv.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/wild.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/wild2ahb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/grsysmon.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/gracectrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/grgpreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahbmst2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/misc/ahb_mst_iface.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/ambatest/ahbtbp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/ambatest/ahbtbm.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/net/net.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/uart.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/libdcom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/apbuart.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/dcom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/dcom_uart.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/uart/ahbuart.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/sim.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/sram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/ata_device.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/sram16.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/phy.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/ahbrep.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/delay_wire.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/spi_flash.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/pwm_check.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/usbsim.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/grusbdcsim.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/sim/grusb_dclsim.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/jtag.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/libjtagcom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/jtagcom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/ahbjtag.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/ahbjtag_bsd.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/bscanregs.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/bscanregsbd.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/jtag/jtagtst.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/gaisler/gr1553b/gr1553b_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="gaisler"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/APB_IIR_Filter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/FILTER.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2_CONTROL.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR_v2_DATAFLOW.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/RAM.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/RAM_CEL_N.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/RAM_CTRLR_v2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/Top_IIR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/iir_filter/iir_filter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_downsampling/Downsampling.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/APB_FFT.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/APB_FFT_half.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/Driver_FFT.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/FFT.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/FFT.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/FFTamont.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/FFTaval.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/Flag_Extremum.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/Linker_FFT.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./dsp/lpp_fft/lpp_fft.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/ADDRcntr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/ALU.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/Adder.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/Clk_Divider2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/Clk_divider.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MAC.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MAC_CONTROLER.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MAC_MUX.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MAC_MUX2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MAC_REG.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MUX2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/MUXN.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/Multiplier.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/REG.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/SYNC_FF.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/Shifter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/TwoComplementer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/general_purpose.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/APB_AMR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/Clock_multi.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/Dephaseur.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/Gene_Rz.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/bclk_reg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_AMR/lpp_AMR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_balise/APB_Balise.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_balise/lpp_balise.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_delay/APB_Delay.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_delay/TimerDelay.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./general_purpose/lpp_delay/lpp_delay.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lfr_time_management/apb_lfr_time_management.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lfr_time_management/lfr_time_management.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lfr_time_management/lpp_lfr_time_management.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/AD7688_drvr_sync.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/ADS1274_drvr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/ADS1278_drvr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/RHF1401.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/WriteGen_ADC.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/dual_ADS1278_drvr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/top_ad_conv.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_ad_Conv/top_ad_conv_RHF1401.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_amba/apb_devices_list.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_amba/lpp_amba.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_bootloader/bootrom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_bootloader/lpp_bootloader.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_bootloader/lpp_bootloader_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/APB_CNA.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/CNA_TabloC.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/Convertisseur_config.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/Gene_SYNC.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/Serialize.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/Systeme_Clock.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_cna/lpp_cna.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_demux/DEMUX.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_demux/lpp_demux.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/fifo_latency_correction.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_apbreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_fsm.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_ip.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_send_16word.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_dma/lpp_dma_send_1word.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_Header/HeaderBuilder.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_Header/lpp_Header.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/ALU_Driver.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/ALU_Driver.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/APB_Matrix.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/Dispatch.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/DriveInputs.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/GetResult.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/MatriceSpectrale.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/MatriceSpectrale.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/Matrix.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/ReUse_CTRLR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/SpectralMatrix.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/SpectralMatrix.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/Starter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/TopMatrix_PDR.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/TopSpecMatrix.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/Top_MatrixSpec.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_matrix/lpp_matrix.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/APB_FIFO.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/APB_FIFO.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/FIFO_pipeline.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/FillFifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/SSRAM_plugin.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/SSRAM_plugin_vsim.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/lppFIFOxN.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/lppFIFOxN.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/lpp_FIFO.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/lpp_memory.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_memory/lpp_memory.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_lfr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_lfr_apbreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_lfr_filter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_lfr_ms.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_lfr_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_acq.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_acq.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_apbreg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr_pkg.vhd.bak" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr_wf_picker.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr_wf_picker_ip.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/lpp_top_lfr_wf_picker_ip_whitout_filter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_top_lfr/top_wf_picker.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_uart/APB_UART.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_uart/BaudGen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_uart/Shift_REG.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_uart/UART.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_uart/lpp_uart.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_usb/APB_USB.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_usb/FX2_Driver.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_usb/FX2_WithFIFO.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_usb/RWbuf.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_usb/lpp_usb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_burst.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_dma.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_dma_genvalid.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_dma_selectaddress.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_dma_send_Nword.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_fifo_arbiter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_fifo_ctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_snapshot.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_snapshot_controler.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./lpp_waveform/lpp_waveform_valid_ack.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./Rocket_PCM_Encoder/MinF_Cntr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./Rocket_PCM_Encoder/Rocket_PCM_Encoder.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./Rocket_PCM_Encoder/Serial_Driver.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./Rocket_PCM_Encoder/Serial_Driver_Multiplexor.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/../../VHD_Lib/lib/lpp/./Rocket_PCM_Encoder/Word_Cntr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<library xil_pn:name="lpp"/>
</file>
<file xil_pn:name="../../lib/cypress/ssram/components.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="cypress"/>
</file>
<file xil_pn:name="../../lib/cypress/ssram/package_utility.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="cypress"/>
</file>
<file xil_pn:name="../../lib/cypress/ssram/cy7c1354b.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="cypress"/>
</file>
<file xil_pn:name="../../lib/cypress/ssram/cy7c1380d.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="cypress"/>
</file>
<file xil_pn:name="../../lib/work/debug/debug.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="work"/>
</file>
<file xil_pn:name="../../lib/work/debug/grtestmod.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="work"/>
</file>
<file xil_pn:name="../../lib/work/debug/cpu_disas.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<library xil_pn:name="work"/>
</file>
<file xil_pn:name="config.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="ahbrom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="leon3mp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="testbench.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
</file>
</files>
<properties>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="true"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="()"/>
<property xil_pn:name="Constraints Entry" xil_pn:value="Constraints Editor"/>
<property xil_pn:name="Create Mask File" xil_pn:value="true"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="true"/>
<property xil_pn:name="Device" xil_pn:value="A3PE1500"/>
<property xil_pn:name="Device Family" xil_pn:value="PROASIC3"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="true"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="None"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|top|rtl"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/top"/>
<property xil_pn:name="Macro Search Path" xil_pn:value="../../netlists/xilinx/PROASIC3"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value=""/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value=""/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="high"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="top"/>
<property xil_pn:name="PROP_xilxBitgCfg_GenOpt_MaskFile_virtex2" xil_pn:value="true"/>
<property xil_pn:name="PROP_xilxBitgCfg_GenOpt_ReadBack_virtex2" xil_pn:value="true"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Yes"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs"/>
<property xil_pn:name="Package" xil_pn:value=""""/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="false"/>
<property xil_pn:name="Simulator" xil_pn:value="Modelsim-SE Mixed"/>
<property xil_pn:name="Speed Grade" xil_pn:value="Std"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL"/>
<property xil_pn:name="Verbose Property Persistence" xil_pn:value="false"/>
</properties>
<bindings/>
<libraries>
<library xil_pn:name="grlib"/>
<library xil_pn:name="proasic3"/>
<library xil_pn:name="dware"/>
<library xil_pn:name="synplify"/>
<library xil_pn:name="techmap"/>
<library xil_pn:name="opencores"/>
<library xil_pn:name="gaisler"/>
<library xil_pn:name="lpp"/>
<library xil_pn:name="cypress"/>
<library xil_pn:name="work"/>
</libraries>
<partitions>
<partition xil_pn:name="/top"/>
</partitions>
</project>