##// END OF EJS Templates
Updated MINI-LFR Board and design with EM constraint files.
Updated MINI-LFR Board and design with EM constraint files.

File last commit:

r631:df7b3a51dab6 simu_with_Leon3
r635:6428e5d35e0a simu_with_Leon3
Show More
vhdlsyn.txt
16 lines | 220 B | text/plain | TextLexer
fft_components.vhd
lpp_fft.vhd
actar.vhd
actram.vhd
CoreFFT.vhd
fftDp.vhd
fftSm.vhd
primitives.vhd
twiddle.vhd
APB_FFT.vhd
Driver_FFT.vhd
FFT.vhd
FFTamont.vhd
FFTaval.vhd
Flag_Extremum.vhd
Linker_FFT.vhd