##// END OF EJS Templates
Moved Validation_LFR_TIME_MANAGEMENT from designs to tests directory...
Moved Validation_LFR_TIME_MANAGEMENT from designs to tests directory Changed test directory Validation_LFR_TIME_MANAGEMENT in LFR_time_management. Added LFR_MANAGMENT_TIME_FINE_DELTA register into apb_lfr_management module at address 0x30 : * LFR_MANAGMENT_TIME_FINE_DELTA ( 8 downto 0) : ft_counter_lsb value * LFR_MANAGMENT_TIME_FINE_DELTA (24 downto 9) : ft value * LFR_MANAGMENT_TIME_FINE_DELTA (26 downto 25) : + ft_counter_lsb_MAX_VALUE = 379 when "00" + ft_counter_lsb_MAX_VALUE = 380 when "01" + ft_counter_lsb_MAX_VALUE = 381 when "10" Updated LFR_time_managment testbench.

File last commit:

r611:ec07182522e1 simu_with_Leon3
r655:2dbcdaf8bb73 default
Show More
vhdlsyn.txt
8 lines | 207 B | text/plain | TextLexer
lpp_lfr_management.vhd
lpp_lfr_management_apbreg_pkg.vhd
apb_lfr_management.vhd
apb_lfr_management_nocal.vhd
lfr_time_management.vhd
fine_time_counter.vhd
coarse_time_counter.vhd
fine_time_max_value_gen.vhd