##// END OF EJS Templates
Moved Validation_LFR_TIME_MANAGEMENT from designs to tests directory...
Moved Validation_LFR_TIME_MANAGEMENT from designs to tests directory Changed test directory Validation_LFR_TIME_MANAGEMENT in LFR_time_management. Added LFR_MANAGMENT_TIME_FINE_DELTA register into apb_lfr_management module at address 0x30 : * LFR_MANAGMENT_TIME_FINE_DELTA ( 8 downto 0) : ft_counter_lsb value * LFR_MANAGMENT_TIME_FINE_DELTA (24 downto 9) : ft value * LFR_MANAGMENT_TIME_FINE_DELTA (26 downto 25) : + ft_counter_lsb_MAX_VALUE = 379 when "00" + ft_counter_lsb_MAX_VALUE = 380 when "01" + ft_counter_lsb_MAX_VALUE = 381 when "10" Updated LFR_time_managment testbench.

File last commit:

r129:8459a437c1f1 alexis
r655:2dbcdaf8bb73 default
Show More
Clk_Divider2.vhd
36 lines | 685 B | text/x-vhdl | VhdlLexer
-- ClkDivider.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Clk_Divider2 is
generic(N : integer := 16);
port(
clk_in : in std_logic;
clk_out : out std_logic
);
end entity;
architecture ar_ClkDivider of Clk_Divider2 is
signal cpt : integer range 0 to N/2-1;
signal clk_int : std_logic:='0';
begin
clk_out <= clk_int;
process(clk_in)
begin
if clk_in'event and clk_in = '1' then
if cpt = N/2-1 then
clk_int <= not clk_int;
cpt <= 0;
else
cpt <= cpt + 1;
end if;
end if;
end process;
end ar_ClkDivider;