##// END OF EJS Templates
update CAL 2/2 + driver C
martin -
r237:c95f017c99af martin
parent child
Show More
@@ -44,6 +44,7 entity APB_CNA is
44 44 rst : in std_logic; --! Reset general du composant
45 45 apbi : in apb_slv_in_type; --! Registre de gestion des entr�es du bus
46 46 apbo : out apb_slv_out_type; --! Registre de gestion des sorties du bus
47 Cal_EN : out std_logic; --! Signal Enable du multiplex pour la CAL
47 48 SYNC : out std_logic; --! Signal de synchronisation du convertisseur
48 49 SCLK : out std_logic; --! Horloge systeme du convertisseur
49 50 DATA : out std_logic --! Donn�e num�rique s�rialis�
@@ -120,4 +121,5 Rec.CNA_Cfg(1) <= flag_sd;
120 121 end process;
121 122
122 123 apbo.prdata <= Rdata when apbi.penable = '1';
124 Cal_EN <= enable;
123 125 end ar_APB_CNA;
@@ -28,7 +28,7 use work.Convertisseur_config.all;
28 28
29 29 entity CNA_TabloC is
30 30 port(
31 clock : in std_logic; --! Horloge du composant
31 clk : in std_logic; --! Horloge du composant
32 32 rst : in std_logic; --! Reset general du composant
33 33 enable : in std_logic; --! Autorise ou non l'utilisation du composant
34 34 Data_C : in std_logic_vector(15 downto 0); --! Donn�e Num�rique d'entr�e sur 16 bits
@@ -44,29 +44,14 end CNA_TabloC;
44 44
45 45 architecture ar_CNA_TabloC of CNA_TabloC is
46 46
47 --component CLKINT
48 --port( A : in std_logic := 'U';
49 -- Y : out std_logic);
50 --end component;
51
52 --signal clk : std_logic;
53
54 --signal raz : std_logic;
55 47 signal s_SCLK : std_logic;
56 48 signal OKAI_send : std_logic;
57 49
58 50 begin
59 51
60 --CLKINT_0 : CLKINT
61 -- port map(A => clock, Y => clk);
62
63 --CLKINT_1 : CLKINT
64 -- port map(A => rst, Y => raz);
65
66
67 52 SystemCLK : entity work.Systeme_Clock
68 53 generic map (nb_serial)
69 port map (clock,rst,s_SCLK);
54 port map (clk,rst,s_SCLK);
70 55
71 56
72 57 Signal_sync : entity work.Gene_SYNC
@@ -74,7 +59,7 Signal_sync : entity work.Gene_SYNC
74 59
75 60
76 61 Serial : entity work.serialize
77 port map (clock,rst,s_SCLK,Data_C,OKAI_send,flag_sd,Data);
62 port map (clk,rst,s_SCLK,Data_C,OKAI_send,flag_sd,Data);
78 63
79 64
80 65 SCLK <= s_SCLK;
@@ -43,6 +43,7 component APB_CNA is
43 43 rst : in std_logic;
44 44 apbi : in apb_slv_in_type;
45 45 apbo : out apb_slv_out_type;
46 Cal_EN : out std_logic;
46 47 SYNC : out std_logic;
47 48 SCLK : out std_logic;
48 49 DATA : out std_logic
@@ -52,7 +53,7 end component;
52 53
53 54 component CNA_TabloC is
54 55 port(
55 clock : in std_logic;
56 clk : in std_logic;
56 57 rst : in std_logic;
57 58 enable : in std_logic;
58 59 Data_C : in std_logic_vector(15 downto 0);
General Comments 0
You need to be logged in to leave comments. Login now