##// END OF EJS Templates
+ top Leon
martin -
r239:95bafd2668d9 martin
parent child
Show More
@@ -94,6 +94,7 entity leon3mp is
94 94 --- AJOUT TEST ------------------------In/Out-----------------------
95 95 ---------------------------------------------------------------------
96 96 -- DAC
97 DAC_EN : out std_logic;
97 98 DAC_SYNC : out std_logic;
98 99 DAC_SCLK : out std_logic;
99 100 DAC_DATA : out std_logic;
@@ -177,7 +178,7 begin
177 178
178 179 CAL0 : APB_CNA
179 180 generic map (pindex => 4, paddr => 4)
180 port map(clkm,rstn,apbi,apbo(4),DAC_SYNC,DAC_SCLK,DAC_DATA);
181 port map(clkm,rstn,apbi,apbo(4),DAC_EN,DAC_SYNC,DAC_SCLK,DAC_DATA);
181 182
182 183
183 184 --- UART -------------------------------------------------------------
General Comments 0
You need to be logged in to leave comments. Login now