##// END OF EJS Templates
Improved .hgignore filter, Added Makefiles for C drivers automated static lib genration.
Alexis -
r22:9061f542c4ff default
parent child
Show More
@@ -0,0 +1,36
1 ------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 2 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------
19 ------------------------------------------------------------------------------
20 -- This file is a part of the LPP VHDL IP LIBRARY
21 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
22 --
23 -- This program is free software; you can redistribute it and/or modify
24 -- it under the terms of the GNU General Public License as published by
25 -- the Free Software Foundation; either version 2 of the License, or
26 -- (at your option) any later version.
27 --
28 -- This program is distributed in the hope that it will be useful,
29 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
30 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
31 -- GNU General Public License for more details.
32 --
33 -- You should have received a copy of the GNU General Public License
34 -- along with this program; if not, write to the Free Software
35 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
36 -------------------------------------------------------------------------------
This diff has been collapsed as it changes many lines, (1661 lines changed) Show them Hide them
@@ -0,0 +1,1661
1 # Doxyfile 1.7.1
2
3 # This file describes the settings to be used by the documentation system
4 # doxygen (www.doxygen.org) for a project
5 #
6 # All text after a hash (#) is considered a comment and will be ignored
7 # The format is:
8 # TAG = value [value, ...]
9 # For lists items can also be appended using:
10 # TAG += value [value, ...]
11 # Values that contain spaces should be placed between quotes (" ")
12
13 #---------------------------------------------------------------------------
14 # Project related configuration options
15 #---------------------------------------------------------------------------
16
17 # This tag specifies the encoding used for all characters in the config file
18 # that follow. The default is UTF-8 which is also the encoding used for all
19 # text before the first occurrence of this tag. Doxygen uses libiconv (or the
20 # iconv built into libc) for the transcoding. See
21 # http://www.gnu.org/software/libiconv for the list of possible encodings.
22
23 DOXYFILE_ENCODING = UTF-8
24
25 # The PROJECT_NAME tag is a single word (or a sequence of words surrounded
26 # by quotes) that should identify the project.
27
28 PROJECT_NAME = "apb lcd driver"
29
30 # The PROJECT_NUMBER tag can be used to enter a project or revision number.
31 # This could be handy for archiving the generated documentation or
32 # if some version control system is used.
33
34 PROJECT_NUMBER = 0.1
35
36 # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute)
37 # base path where the generated documentation will be put.
38 # If a relative path is entered, it will be relative to the location
39 # where doxygen was started. If left blank the current directory will be used.
40
41 OUTPUT_DIRECTORY = ./Doc
42
43 # If the CREATE_SUBDIRS tag is set to YES, then doxygen will create
44 # 4096 sub-directories (in 2 levels) under the output directory of each output
45 # format and will distribute the generated files over these directories.
46 # Enabling this option can be useful when feeding doxygen a huge amount of
47 # source files, where putting all generated files in the same directory would
48 # otherwise cause performance problems for the file system.
49
50 CREATE_SUBDIRS = NO
51
52 # The OUTPUT_LANGUAGE tag is used to specify the language in which all
53 # documentation generated by doxygen is written. Doxygen will use this
54 # information to generate all constant output in the proper language.
55 # The default language is English, other supported languages are:
56 # Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional,
57 # Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German,
58 # Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English
59 # messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian,
60 # Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak,
61 # Slovene, Spanish, Swedish, Ukrainian, and Vietnamese.
62
63 OUTPUT_LANGUAGE = English
64
65 # If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will
66 # include brief member descriptions after the members that are listed in
67 # the file and class documentation (similar to JavaDoc).
68 # Set to NO to disable this.
69
70 BRIEF_MEMBER_DESC = YES
71
72 # If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend
73 # the brief description of a member or function before the detailed description.
74 # Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
75 # brief descriptions will be completely suppressed.
76
77 REPEAT_BRIEF = YES
78
79 # This tag implements a quasi-intelligent brief description abbreviator
80 # that is used to form the text in various listings. Each string
81 # in this list, if found as the leading text of the brief description, will be
82 # stripped from the text and the result after processing the whole list, is
83 # used as the annotated text. Otherwise, the brief description is used as-is.
84 # If left blank, the following values are used ("$name" is automatically
85 # replaced with the name of the entity): "The $name class" "The $name widget"
86 # "The $name file" "is" "provides" "specifies" "contains"
87 # "represents" "a" "an" "the"
88
89 ABBREVIATE_BRIEF = "The $name class" \
90 "The $name widget" \
91 "The $name file" \
92 is \
93 provides \
94 specifies \
95 contains \
96 represents \
97 a \
98 an \
99 the
100
101 # If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
102 # Doxygen will generate a detailed section even if there is only a brief
103 # description.
104
105 ALWAYS_DETAILED_SEC = NO
106
107 # If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
108 # inherited members of a class in the documentation of that class as if those
109 # members were ordinary class members. Constructors, destructors and assignment
110 # operators of the base classes will not be shown.
111
112 INLINE_INHERITED_MEMB = NO
113
114 # If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full
115 # path before files name in the file list and in the header files. If set
116 # to NO the shortest path that makes the file name unique will be used.
117
118 FULL_PATH_NAMES = YES
119
120 # If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag
121 # can be used to strip a user-defined part of the path. Stripping is
122 # only done if one of the specified strings matches the left-hand part of
123 # the path. The tag can be used to show relative paths in the file list.
124 # If left blank the directory from which doxygen is run is used as the
125 # path to strip.
126
127 STRIP_FROM_PATH =
128
129 # The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of
130 # the path mentioned in the documentation of a class, which tells
131 # the reader which header file to include in order to use a class.
132 # If left blank only the name of the header file containing the class
133 # definition is used. Otherwise one should specify the include paths that
134 # are normally passed to the compiler using the -I flag.
135
136 STRIP_FROM_INC_PATH =
137
138 # If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter
139 # (but less readable) file names. This can be useful is your file systems
140 # doesn't support long names like on DOS, Mac, or CD-ROM.
141
142 SHORT_NAMES = YES
143
144 # If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen
145 # will interpret the first line (until the first dot) of a JavaDoc-style
146 # comment as the brief description. If set to NO, the JavaDoc
147 # comments will behave just like regular Qt-style comments
148 # (thus requiring an explicit @brief command for a brief description.)
149
150 JAVADOC_AUTOBRIEF = NO
151
152 # If the QT_AUTOBRIEF tag is set to YES then Doxygen will
153 # interpret the first line (until the first dot) of a Qt-style
154 # comment as the brief description. If set to NO, the comments
155 # will behave just like regular Qt-style comments (thus requiring
156 # an explicit \brief command for a brief description.)
157
158 QT_AUTOBRIEF = NO
159
160 # The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen
161 # treat a multi-line C++ special comment block (i.e. a block of //! or ///
162 # comments) as a brief description. This used to be the default behaviour.
163 # The new default is to treat a multi-line C++ comment block as a detailed
164 # description. Set this tag to YES if you prefer the old behaviour instead.
165
166 MULTILINE_CPP_IS_BRIEF = NO
167
168 # If the INHERIT_DOCS tag is set to YES (the default) then an undocumented
169 # member inherits the documentation from any documented member that it
170 # re-implements.
171
172 INHERIT_DOCS = YES
173
174 # If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce
175 # a new page for each member. If set to NO, the documentation of a member will
176 # be part of the file/class/namespace that contains it.
177
178 SEPARATE_MEMBER_PAGES = NO
179
180 # The TAB_SIZE tag can be used to set the number of spaces in a tab.
181 # Doxygen uses this value to replace tabs by spaces in code fragments.
182
183 TAB_SIZE = 8
184
185 # This tag can be used to specify a number of aliases that acts
186 # as commands in the documentation. An alias has the form "name=value".
187 # For example adding "sideeffect=\par Side Effects:\n" will allow you to
188 # put the command \sideeffect (or @sideeffect) in the documentation, which
189 # will result in a user-defined paragraph with heading "Side Effects:".
190 # You can put \n's in the value part of an alias to insert newlines.
191
192 ALIASES =
193
194 # Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C
195 # sources only. Doxygen will then generate output that is more tailored for C.
196 # For instance, some of the names that are used will be different. The list
197 # of all members will be omitted, etc.
198
199 OPTIMIZE_OUTPUT_FOR_C = YES
200
201 # Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java
202 # sources only. Doxygen will then generate output that is more tailored for
203 # Java. For instance, namespaces will be presented as packages, qualified
204 # scopes will look different, etc.
205
206 OPTIMIZE_OUTPUT_JAVA = NO
207
208 # Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
209 # sources only. Doxygen will then generate output that is more tailored for
210 # Fortran.
211
212 OPTIMIZE_FOR_FORTRAN = NO
213
214 # Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
215 # sources. Doxygen will then generate output that is tailored for
216 # VHDL.
217
218 OPTIMIZE_OUTPUT_VHDL = NO
219
220 # Doxygen selects the parser to use depending on the extension of the files it
221 # parses. With this tag you can assign which parser to use for a given extension.
222 # Doxygen has a built-in mapping, but you can override or extend it using this
223 # tag. The format is ext=language, where ext is a file extension, and language
224 # is one of the parsers supported by doxygen: IDL, Java, Javascript, CSharp, C,
225 # C++, D, PHP, Objective-C, Python, Fortran, VHDL, C, C++. For instance to make
226 # doxygen treat .inc files as Fortran files (default is PHP), and .f files as C
227 # (default is Fortran), use: inc=Fortran f=C. Note that for custom extensions
228 # you also need to set FILE_PATTERNS otherwise the files are not read by doxygen.
229
230 EXTENSION_MAPPING =
231
232 # If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
233 # to include (a tag file for) the STL sources as input, then you should
234 # set this tag to YES in order to let doxygen match functions declarations and
235 # definitions whose arguments contain STL classes (e.g. func(std::string); v.s.
236 # func(std::string) {}). This also make the inheritance and collaboration
237 # diagrams that involve STL classes more complete and accurate.
238
239 BUILTIN_STL_SUPPORT = NO
240
241 # If you use Microsoft's C++/CLI language, you should set this option to YES to
242 # enable parsing support.
243
244 CPP_CLI_SUPPORT = NO
245
246 # Set the SIP_SUPPORT tag to YES if your project consists of sip sources only.
247 # Doxygen will parse them like normal C++ but will assume all classes use public
248 # instead of private inheritance when no explicit protection keyword is present.
249
250 SIP_SUPPORT = NO
251
252 # For Microsoft's IDL there are propget and propput attributes to indicate getter
253 # and setter methods for a property. Setting this option to YES (the default)
254 # will make doxygen to replace the get and set methods by a property in the
255 # documentation. This will only work if the methods are indeed getting or
256 # setting a simple type. If this is not the case, or you want to show the
257 # methods anyway, you should set this option to NO.
258
259 IDL_PROPERTY_SUPPORT = YES
260
261 # If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
262 # tag is set to YES, then doxygen will reuse the documentation of the first
263 # member in the group (if any) for the other members of the group. By default
264 # all members of a group must be documented explicitly.
265
266 DISTRIBUTE_GROUP_DOC = NO
267
268 # Set the SUBGROUPING tag to YES (the default) to allow class member groups of
269 # the same type (for instance a group of public functions) to be put as a
270 # subgroup of that type (e.g. under the Public Functions section). Set it to
271 # NO to prevent subgrouping. Alternatively, this can be done per class using
272 # the \nosubgrouping command.
273
274 SUBGROUPING = YES
275
276 # When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum
277 # is documented as struct, union, or enum with the name of the typedef. So
278 # typedef struct TypeS {} TypeT, will appear in the documentation as a struct
279 # with name TypeT. When disabled the typedef will appear as a member of a file,
280 # namespace, or class. And the struct will be named TypeS. This can typically
281 # be useful for C code in case the coding convention dictates that all compound
282 # types are typedef'ed and only the typedef is referenced, never the tag name.
283
284 TYPEDEF_HIDES_STRUCT = NO
285
286 # The SYMBOL_CACHE_SIZE determines the size of the internal cache use to
287 # determine which symbols to keep in memory and which to flush to disk.
288 # When the cache is full, less often used symbols will be written to disk.
289 # For small to medium size projects (<1000 input files) the default value is
290 # probably good enough. For larger projects a too small cache size can cause
291 # doxygen to be busy swapping symbols to and from disk most of the time
292 # causing a significant performance penality.
293 # If the system has enough physical memory increasing the cache will improve the
294 # performance by keeping more symbols in memory. Note that the value works on
295 # a logarithmic scale so increasing the size by one will rougly double the
296 # memory usage. The cache size is given by this formula:
297 # 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0,
298 # corresponding to a cache size of 2^16 = 65536 symbols
299
300 SYMBOL_CACHE_SIZE = 0
301
302 #---------------------------------------------------------------------------
303 # Build related configuration options
304 #---------------------------------------------------------------------------
305
306 # If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in
307 # documentation are documented, even if no documentation was available.
308 # Private class members and static file members will be hidden unless
309 # the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES
310
311 EXTRACT_ALL = YES
312
313 # If the EXTRACT_PRIVATE tag is set to YES all private members of a class
314 # will be included in the documentation.
315
316 EXTRACT_PRIVATE = NO
317
318 # If the EXTRACT_STATIC tag is set to YES all static members of a file
319 # will be included in the documentation.
320
321 EXTRACT_STATIC = NO
322
323 # If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs)
324 # defined locally in source files will be included in the documentation.
325 # If set to NO only classes defined in header files are included.
326
327 EXTRACT_LOCAL_CLASSES = YES
328
329 # This flag is only useful for Objective-C code. When set to YES local
330 # methods, which are defined in the implementation section but not in
331 # the interface are included in the documentation.
332 # If set to NO (the default) only methods in the interface are included.
333
334 EXTRACT_LOCAL_METHODS = YES
335
336 # If this flag is set to YES, the members of anonymous namespaces will be
337 # extracted and appear in the documentation as a namespace called
338 # 'anonymous_namespace{file}', where file will be replaced with the base
339 # name of the file that contains the anonymous namespace. By default
340 # anonymous namespace are hidden.
341
342 EXTRACT_ANON_NSPACES = NO
343
344 # If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all
345 # undocumented members of documented classes, files or namespaces.
346 # If set to NO (the default) these members will be included in the
347 # various overviews, but no documentation section is generated.
348 # This option has no effect if EXTRACT_ALL is enabled.
349
350 HIDE_UNDOC_MEMBERS = NO
351
352 # If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all
353 # undocumented classes that are normally visible in the class hierarchy.
354 # If set to NO (the default) these classes will be included in the various
355 # overviews. This option has no effect if EXTRACT_ALL is enabled.
356
357 HIDE_UNDOC_CLASSES = NO
358
359 # If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all
360 # friend (class|struct|union) declarations.
361 # If set to NO (the default) these declarations will be included in the
362 # documentation.
363
364 HIDE_FRIEND_COMPOUNDS = NO
365
366 # If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any
367 # documentation blocks found inside the body of a function.
368 # If set to NO (the default) these blocks will be appended to the
369 # function's detailed documentation block.
370
371 HIDE_IN_BODY_DOCS = NO
372
373 # The INTERNAL_DOCS tag determines if documentation
374 # that is typed after a \internal command is included. If the tag is set
375 # to NO (the default) then the documentation will be excluded.
376 # Set it to YES to include the internal documentation.
377
378 INTERNAL_DOCS = NO
379
380 # If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate
381 # file names in lower-case letters. If set to YES upper-case letters are also
382 # allowed. This is useful if you have classes or files whose names only differ
383 # in case and if your file system supports case sensitive file names. Windows
384 # and Mac users are advised to set this option to NO.
385
386 CASE_SENSE_NAMES = NO
387
388 # If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen
389 # will show members with their full class and namespace scopes in the
390 # documentation. If set to YES the scope will be hidden.
391
392 HIDE_SCOPE_NAMES = YES
393
394 # If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen
395 # will put a list of the files that are included by a file in the documentation
396 # of that file.
397
398 SHOW_INCLUDE_FILES = YES
399
400 # If the FORCE_LOCAL_INCLUDES tag is set to YES then Doxygen
401 # will list include files with double quotes in the documentation
402 # rather than with sharp brackets.
403
404 FORCE_LOCAL_INCLUDES = NO
405
406 # If the INLINE_INFO tag is set to YES (the default) then a tag [inline]
407 # is inserted in the documentation for inline members.
408
409 INLINE_INFO = YES
410
411 # If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen
412 # will sort the (detailed) documentation of file and class members
413 # alphabetically by member name. If set to NO the members will appear in
414 # declaration order.
415
416 SORT_MEMBER_DOCS = YES
417
418 # If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the
419 # brief documentation of file, namespace and class members alphabetically
420 # by member name. If set to NO (the default) the members will appear in
421 # declaration order.
422
423 SORT_BRIEF_DOCS = NO
424
425 # If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen
426 # will sort the (brief and detailed) documentation of class members so that
427 # constructors and destructors are listed first. If set to NO (the default)
428 # the constructors will appear in the respective orders defined by
429 # SORT_MEMBER_DOCS and SORT_BRIEF_DOCS.
430 # This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO
431 # and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO.
432
433 SORT_MEMBERS_CTORS_1ST = NO
434
435 # If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the
436 # hierarchy of group names into alphabetical order. If set to NO (the default)
437 # the group names will appear in their defined order.
438
439 SORT_GROUP_NAMES = NO
440
441 # If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be
442 # sorted by fully-qualified names, including namespaces. If set to
443 # NO (the default), the class list will be sorted only by class name,
444 # not including the namespace part.
445 # Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
446 # Note: This option applies only to the class list, not to the
447 # alphabetical list.
448
449 SORT_BY_SCOPE_NAME = NO
450
451 # The GENERATE_TODOLIST tag can be used to enable (YES) or
452 # disable (NO) the todo list. This list is created by putting \todo
453 # commands in the documentation.
454
455 GENERATE_TODOLIST = YES
456
457 # The GENERATE_TESTLIST tag can be used to enable (YES) or
458 # disable (NO) the test list. This list is created by putting \test
459 # commands in the documentation.
460
461 GENERATE_TESTLIST = YES
462
463 # The GENERATE_BUGLIST tag can be used to enable (YES) or
464 # disable (NO) the bug list. This list is created by putting \bug
465 # commands in the documentation.
466
467 GENERATE_BUGLIST = YES
468
469 # The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or
470 # disable (NO) the deprecated list. This list is created by putting
471 # \deprecated commands in the documentation.
472
473 GENERATE_DEPRECATEDLIST= YES
474
475 # The ENABLED_SECTIONS tag can be used to enable conditional
476 # documentation sections, marked by \if sectionname ... \endif.
477
478 ENABLED_SECTIONS =
479
480 # The MAX_INITIALIZER_LINES tag determines the maximum number of lines
481 # the initial value of a variable or define consists of for it to appear in
482 # the documentation. If the initializer consists of more lines than specified
483 # here it will be hidden. Use a value of 0 to hide initializers completely.
484 # The appearance of the initializer of individual variables and defines in the
485 # documentation can be controlled using \showinitializer or \hideinitializer
486 # command in the documentation regardless of this setting.
487
488 MAX_INITIALIZER_LINES = 30
489
490 # Set the SHOW_USED_FILES tag to NO to disable the list of files generated
491 # at the bottom of the documentation of classes and structs. If set to YES the
492 # list will mention the files that were used to generate the documentation.
493
494 SHOW_USED_FILES = YES
495
496 # If the sources in your project are distributed over multiple directories
497 # then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy
498 # in the documentation. The default is NO.
499
500 SHOW_DIRECTORIES = NO
501
502 # Set the SHOW_FILES tag to NO to disable the generation of the Files page.
503 # This will remove the Files entry from the Quick Index and from the
504 # Folder Tree View (if specified). The default is YES.
505
506 SHOW_FILES = YES
507
508 # Set the SHOW_NAMESPACES tag to NO to disable the generation of the
509 # Namespaces page. This will remove the Namespaces entry from the Quick Index
510 # and from the Folder Tree View (if specified). The default is YES.
511
512 SHOW_NAMESPACES = YES
513
514 # The FILE_VERSION_FILTER tag can be used to specify a program or script that
515 # doxygen should invoke to get the current version for each file (typically from
516 # the version control system). Doxygen will invoke the program by executing (via
517 # popen()) the command <command> <input-file>, where <command> is the value of
518 # the FILE_VERSION_FILTER tag, and <input-file> is the name of an input file
519 # provided by doxygen. Whatever the program writes to standard output
520 # is used as the file version. See the manual for examples.
521
522 FILE_VERSION_FILTER =
523
524 # The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
525 # by doxygen. The layout file controls the global structure of the generated
526 # output files in an output format independent way. The create the layout file
527 # that represents doxygen's defaults, run doxygen with the -l option.
528 # You can optionally specify a file name after the option, if omitted
529 # DoxygenLayout.xml will be used as the name of the layout file.
530
531 LAYOUT_FILE =
532
533 #---------------------------------------------------------------------------
534 # configuration options related to warning and progress messages
535 #---------------------------------------------------------------------------
536
537 # The QUIET tag can be used to turn on/off the messages that are generated
538 # by doxygen. Possible values are YES and NO. If left blank NO is used.
539
540 QUIET = NO
541
542 # The WARNINGS tag can be used to turn on/off the warning messages that are
543 # generated by doxygen. Possible values are YES and NO. If left blank
544 # NO is used.
545
546 WARNINGS = YES
547
548 # If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings
549 # for undocumented members. If EXTRACT_ALL is set to YES then this flag will
550 # automatically be disabled.
551
552 WARN_IF_UNDOCUMENTED = YES
553
554 # If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for
555 # potential errors in the documentation, such as not documenting some
556 # parameters in a documented function, or documenting parameters that
557 # don't exist or using markup commands wrongly.
558
559 WARN_IF_DOC_ERROR = YES
560
561 # This WARN_NO_PARAMDOC option can be abled to get warnings for
562 # functions that are documented, but have no documentation for their parameters
563 # or return value. If set to NO (the default) doxygen will only warn about
564 # wrong or incomplete parameter documentation, but not about the absence of
565 # documentation.
566
567 WARN_NO_PARAMDOC = NO
568
569 # The WARN_FORMAT tag determines the format of the warning messages that
570 # doxygen can produce. The string should contain the $file, $line, and $text
571 # tags, which will be replaced by the file and line number from which the
572 # warning originated and the warning text. Optionally the format may contain
573 # $version, which will be replaced by the version of the file (if it could
574 # be obtained via FILE_VERSION_FILTER)
575
576 WARN_FORMAT = "$file:$line: $text"
577
578 # The WARN_LOGFILE tag can be used to specify a file to which warning
579 # and error messages should be written. If left blank the output is written
580 # to stderr.
581
582 WARN_LOGFILE =
583
584 #---------------------------------------------------------------------------
585 # configuration options related to the input files
586 #---------------------------------------------------------------------------
587
588 # The INPUT tag can be used to specify the files and/or directories that contain
589 # documented source files. You may enter file names like "myfile.cpp" or
590 # directories like "/usr/src/myproject". Separate the files or directories
591 # with spaces.
592
593 INPUT = ./
594
595 # This tag can be used to specify the character encoding of the source files
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
597 # also the default input encoding. Doxygen uses libiconv (or the iconv built
598 # into libc) for the transcoding. See http://www.gnu.org/software/libiconv for
599 # the list of possible encodings.
600
601 INPUT_ENCODING = UTF-8
602
603 # If the value of the INPUT tag contains directories, you can use the
604 # FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
605 # and *.h) to filter out the source-files in the directories. If left
606 # blank the following patterns are tested:
607 # *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx
608 # *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90
609
610 FILE_PATTERNS = *.c \
611 *.cc \
612 *.cxx \
613 *.cpp \
614 *.c++ \
615 *.d \
616 *.java \
617 *.ii \
618 *.ixx \
619 *.ipp \
620 *.i++ \
621 *.inl \
622 *.h \
623 *.hh \
624 *.hxx \
625 *.hpp \
626 *.h++ \
627 *.idl \
628 *.odl \
629 *.cs \
630 *.php \
631 *.php3 \
632 *.inc \
633 *.m \
634 *.mm \
635 *.dox \
636 *.py \
637 *.f90 \
638 *.f \
639 *.vhd \
640 *.vhdl
641
642 # The RECURSIVE tag can be used to turn specify whether or not subdirectories
643 # should be searched for input files as well. Possible values are YES and NO.
644 # If left blank NO is used.
645
646 RECURSIVE = NO
647
648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 # excluded from the INPUT source files. This way you can easily exclude a
650 # subdirectory from a directory tree whose root is specified with the INPUT tag.
651
652 EXCLUDE =
653
654 # The EXCLUDE_SYMLINKS tag can be used select whether or not files or
655 # directories that are symbolic links (a Unix filesystem feature) are excluded
656 # from the input.
657
658 EXCLUDE_SYMLINKS = NO
659
660 # If the value of the INPUT tag contains directories, you can use the
661 # EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
662 # certain files from those directories. Note that the wildcards are matched
663 # against the file with absolute path, so to exclude all test directories
664 # for example use the pattern */test/*
665
666 EXCLUDE_PATTERNS =
667
668 # The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
669 # (namespaces, classes, functions, etc.) that should be excluded from the
670 # output. The symbol name can be a fully qualified name, a word, or if the
671 # wildcard * is used, a substring. Examples: ANamespace, AClass,
672 # AClass::ANamespace, ANamespace::*Test
673
674 EXCLUDE_SYMBOLS =
675
676 # The EXAMPLE_PATH tag can be used to specify one or more files or
677 # directories that contain example code fragments that are included (see
678 # the \include command).
679
680 EXAMPLE_PATH =
681
682 # If the value of the EXAMPLE_PATH tag contains directories, you can use the
683 # EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
684 # and *.h) to filter out the source-files in the directories. If left
685 # blank all files are included.
686
687 EXAMPLE_PATTERNS = *
688
689 # If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
690 # searched for input files to be used with the \include or \dontinclude
691 # commands irrespective of the value of the RECURSIVE tag.
692 # Possible values are YES and NO. If left blank NO is used.
693
694 EXAMPLE_RECURSIVE = NO
695
696 # The IMAGE_PATH tag can be used to specify one or more files or
697 # directories that contain image that are included in the documentation (see
698 # the \image command).
699
700 IMAGE_PATH =
701
702 # The INPUT_FILTER tag can be used to specify a program that doxygen should
703 # invoke to filter for each input file. Doxygen will invoke the filter program
704 # by executing (via popen()) the command <filter> <input-file>, where <filter>
705 # is the value of the INPUT_FILTER tag, and <input-file> is the name of an
706 # input file. Doxygen will then use the output that the filter program writes
707 # to standard output. If FILTER_PATTERNS is specified, this tag will be
708 # ignored.
709
710 INPUT_FILTER =
711
712 # The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
713 # basis. Doxygen will compare the file name with each pattern and apply the
714 # filter if there is a match. The filters are a list of the form:
715 # pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further
716 # info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER
717 # is applied to all files.
718
719 FILTER_PATTERNS =
720
721 # If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
722 # INPUT_FILTER) will be used to filter the input files when producing source
723 # files to browse (i.e. when SOURCE_BROWSER is set to YES).
724
725 FILTER_SOURCE_FILES = NO
726
727 #---------------------------------------------------------------------------
728 # configuration options related to source browsing
729 #---------------------------------------------------------------------------
730
731 # If the SOURCE_BROWSER tag is set to YES then a list of source files will
732 # be generated. Documented entities will be cross-referenced with these sources.
733 # Note: To get rid of all source code in the generated output, make sure also
734 # VERBATIM_HEADERS is set to NO.
735
736 SOURCE_BROWSER = YES
737
738 # Setting the INLINE_SOURCES tag to YES will include the body
739 # of functions and classes directly in the documentation.
740
741 INLINE_SOURCES = YES
742
743 # Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct
744 # doxygen to hide any special comment blocks from generated source code
745 # fragments. Normal C and C++ comments will always remain visible.
746
747 STRIP_CODE_COMMENTS = YES
748
749 # If the REFERENCED_BY_RELATION tag is set to YES
750 # then for each documented function all documented
751 # functions referencing it will be listed.
752
753 REFERENCED_BY_RELATION = NO
754
755 # If the REFERENCES_RELATION tag is set to YES
756 # then for each documented function all documented entities
757 # called/used by that function will be listed.
758
759 REFERENCES_RELATION = NO
760
761 # If the REFERENCES_LINK_SOURCE tag is set to YES (the default)
762 # and SOURCE_BROWSER tag is set to YES, then the hyperlinks from
763 # functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will
764 # link to the source code. Otherwise they will link to the documentation.
765
766 REFERENCES_LINK_SOURCE = YES
767
768 # If the USE_HTAGS tag is set to YES then the references to source code
769 # will point to the HTML generated by the htags(1) tool instead of doxygen
770 # built-in source browser. The htags tool is part of GNU's global source
771 # tagging system (see http://www.gnu.org/software/global/global.html). You
772 # will need version 4.8.6 or higher.
773
774 USE_HTAGS = NO
775
776 # If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen
777 # will generate a verbatim copy of the header file for each class for
778 # which an include is specified. Set to NO to disable this.
779
780 VERBATIM_HEADERS = YES
781
782 #---------------------------------------------------------------------------
783 # configuration options related to the alphabetical class index
784 #---------------------------------------------------------------------------
785
786 # If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index
787 # of all compounds will be generated. Enable this if the project
788 # contains a lot of classes, structs, unions or interfaces.
789
790 ALPHABETICAL_INDEX = YES
791
792 # If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then
793 # the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns
794 # in which this list will be split (can be a number in the range [1..20])
795
796 COLS_IN_ALPHA_INDEX = 5
797
798 # In case all classes in a project start with a common prefix, all
799 # classes will be put under the same header in the alphabetical index.
800 # The IGNORE_PREFIX tag can be used to specify one or more prefixes that
801 # should be ignored while generating the index headers.
802
803 IGNORE_PREFIX =
804
805 #---------------------------------------------------------------------------
806 # configuration options related to the HTML output
807 #---------------------------------------------------------------------------
808
809 # If the GENERATE_HTML tag is set to YES (the default) Doxygen will
810 # generate HTML output.
811
812 GENERATE_HTML = YES
813
814 # The HTML_OUTPUT tag is used to specify where the HTML docs will be put.
815 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
816 # put in front of it. If left blank `html' will be used as the default path.
817
818 HTML_OUTPUT = html
819
820 # The HTML_FILE_EXTENSION tag can be used to specify the file extension for
821 # each generated HTML page (for example: .htm,.php,.asp). If it is left blank
822 # doxygen will generate files with .html extension.
823
824 HTML_FILE_EXTENSION = .html
825
826 # The HTML_HEADER tag can be used to specify a personal HTML header for
827 # each generated HTML page. If it is left blank doxygen will generate a
828 # standard header.
829
830 HTML_HEADER =
831
832 # The HTML_FOOTER tag can be used to specify a personal HTML footer for
833 # each generated HTML page. If it is left blank doxygen will generate a
834 # standard footer.
835
836 HTML_FOOTER =
837
838 # The HTML_STYLESHEET tag can be used to specify a user-defined cascading
839 # style sheet that is used by each HTML page. It can be used to
840 # fine-tune the look of the HTML output. If the tag is left blank doxygen
841 # will generate a default style sheet. Note that doxygen will try to copy
842 # the style sheet file to the HTML output directory, so don't put your own
843 # stylesheet in the HTML output directory as well, or it will be erased!
844
845 HTML_STYLESHEET =
846
847 # The HTML_COLORSTYLE_HUE tag controls the color of the HTML output.
848 # Doxygen will adjust the colors in the stylesheet and background images
849 # according to this color. Hue is specified as an angle on a colorwheel,
850 # see http://en.wikipedia.org/wiki/Hue for more information.
851 # For instance the value 0 represents red, 60 is yellow, 120 is green,
852 # 180 is cyan, 240 is blue, 300 purple, and 360 is red again.
853 # The allowed range is 0 to 359.
854
855 HTML_COLORSTYLE_HUE = 220
856
857 # The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of
858 # the colors in the HTML output. For a value of 0 the output will use
859 # grayscales only. A value of 255 will produce the most vivid colors.
860
861 HTML_COLORSTYLE_SAT = 100
862
863 # The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to
864 # the luminance component of the colors in the HTML output. Values below
865 # 100 gradually make the output lighter, whereas values above 100 make
866 # the output darker. The value divided by 100 is the actual gamma applied,
867 # so 80 represents a gamma of 0.8, The value 220 represents a gamma of 2.2,
868 # and 100 does not change the gamma.
869
870 HTML_COLORSTYLE_GAMMA = 80
871
872 # If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
873 # page will contain the date and time when the page was generated. Setting
874 # this to NO can help when comparing the output of multiple runs.
875
876 HTML_TIMESTAMP = YES
877
878 # If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes,
879 # files or namespaces will be aligned in HTML using tables. If set to
880 # NO a bullet list will be used.
881
882 HTML_ALIGN_MEMBERS = YES
883
884 # If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
885 # documentation will contain sections that can be hidden and shown after the
886 # page has loaded. For this to work a browser that supports
887 # JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox
888 # Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari).
889
890 HTML_DYNAMIC_SECTIONS = YES
891
892 # If the GENERATE_DOCSET tag is set to YES, additional index files
893 # will be generated that can be used as input for Apple's Xcode 3
894 # integrated development environment, introduced with OSX 10.5 (Leopard).
895 # To create a documentation set, doxygen will generate a Makefile in the
896 # HTML output directory. Running make will produce the docset in that
897 # directory and running "make install" will install the docset in
898 # ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find
899 # it at startup.
900 # See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
901 # for more information.
902
903 GENERATE_DOCSET = NO
904
905 # When GENERATE_DOCSET tag is set to YES, this tag determines the name of the
906 # feed. A documentation feed provides an umbrella under which multiple
907 # documentation sets from a single provider (such as a company or product suite)
908 # can be grouped.
909
910 DOCSET_FEEDNAME = "Doxygen generated docs"
911
912 # When GENERATE_DOCSET tag is set to YES, this tag specifies a string that
913 # should uniquely identify the documentation set bundle. This should be a
914 # reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen
915 # will append .docset to the name.
916
917 DOCSET_BUNDLE_ID = org.doxygen.Project
918
919 # When GENERATE_PUBLISHER_ID tag specifies a string that should uniquely identify
920 # the documentation publisher. This should be a reverse domain-name style
921 # string, e.g. com.mycompany.MyDocSet.documentation.
922
923 DOCSET_PUBLISHER_ID = org.doxygen.Publisher
924
925 # The GENERATE_PUBLISHER_NAME tag identifies the documentation publisher.
926
927 DOCSET_PUBLISHER_NAME = Publisher
928
929 # If the GENERATE_HTMLHELP tag is set to YES, additional index files
930 # will be generated that can be used as input for tools like the
931 # Microsoft HTML help workshop to generate a compiled HTML help file (.chm)
932 # of the generated HTML documentation.
933
934 GENERATE_HTMLHELP = NO
935
936 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can
937 # be used to specify the file name of the resulting .chm file. You
938 # can add a path in front of the file if the result should not be
939 # written to the html output directory.
940
941 CHM_FILE =
942
943 # If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can
944 # be used to specify the location (absolute path including file name) of
945 # the HTML help compiler (hhc.exe). If non-empty doxygen will try to run
946 # the HTML help compiler on the generated index.hhp.
947
948 HHC_LOCATION =
949
950 # If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag
951 # controls if a separate .chi index file is generated (YES) or that
952 # it should be included in the master .chm file (NO).
953
954 GENERATE_CHI = NO
955
956 # If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING
957 # is used to encode HtmlHelp index (hhk), content (hhc) and project file
958 # content.
959
960 CHM_INDEX_ENCODING =
961
962 # If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag
963 # controls whether a binary table of contents is generated (YES) or a
964 # normal table of contents (NO) in the .chm file.
965
966 BINARY_TOC = NO
967
968 # The TOC_EXPAND flag can be set to YES to add extra items for group members
969 # to the contents of the HTML help documentation and to the tree view.
970
971 TOC_EXPAND = NO
972
973 # If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
974 # QHP_VIRTUAL_FOLDER are set, an additional index file will be generated
975 # that can be used as input for Qt's qhelpgenerator to generate a
976 # Qt Compressed Help (.qch) of the generated HTML documentation.
977
978 GENERATE_QHP = NO
979
980 # If the QHG_LOCATION tag is specified, the QCH_FILE tag can
981 # be used to specify the file name of the resulting .qch file.
982 # The path specified is relative to the HTML output folder.
983
984 QCH_FILE =
985
986 # The QHP_NAMESPACE tag specifies the namespace to use when generating
987 # Qt Help Project output. For more information please see
988 # http://doc.trolltech.com/qthelpproject.html#namespace
989
990 QHP_NAMESPACE = org.doxygen.Project
991
992 # The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating
993 # Qt Help Project output. For more information please see
994 # http://doc.trolltech.com/qthelpproject.html#virtual-folders
995
996 QHP_VIRTUAL_FOLDER = doc
997
998 # If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to
999 # add. For more information please see
1000 # http://doc.trolltech.com/qthelpproject.html#custom-filters
1001
1002 QHP_CUST_FILTER_NAME =
1003
1004 # The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the
1005 # custom filter to add. For more information please see
1006 # <a href="http://doc.trolltech.com/qthelpproject.html#custom-filters">
1007 # Qt Help Project / Custom Filters</a>.
1008
1009 QHP_CUST_FILTER_ATTRS =
1010
1011 # The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
1012 # project's
1013 # filter section matches.
1014 # <a href="http://doc.trolltech.com/qthelpproject.html#filter-attributes">
1015 # Qt Help Project / Filter Attributes</a>.
1016
1017 QHP_SECT_FILTER_ATTRS =
1018
1019 # If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can
1020 # be used to specify the location of Qt's qhelpgenerator.
1021 # If non-empty doxygen will try to run qhelpgenerator on the generated
1022 # .qhp file.
1023
1024 QHG_LOCATION =
1025
1026 # If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files
1027 # will be generated, which together with the HTML files, form an Eclipse help
1028 # plugin. To install this plugin and make it available under the help contents
1029 # menu in Eclipse, the contents of the directory containing the HTML and XML
1030 # files needs to be copied into the plugins directory of eclipse. The name of
1031 # the directory within the plugins directory should be the same as
1032 # the ECLIPSE_DOC_ID value. After copying Eclipse needs to be restarted before
1033 # the help appears.
1034
1035 GENERATE_ECLIPSEHELP = NO
1036
1037 # A unique identifier for the eclipse help plugin. When installing the plugin
1038 # the directory name containing the HTML and XML files should also have
1039 # this name.
1040
1041 ECLIPSE_DOC_ID = org.doxygen.Project
1042
1043 # The DISABLE_INDEX tag can be used to turn on/off the condensed index at
1044 # top of each HTML page. The value NO (the default) enables the index and
1045 # the value YES disables it.
1046
1047 DISABLE_INDEX = NO
1048
1049 # This tag can be used to set the number of enum values (range [1..20])
1050 # that doxygen will group on one line in the generated HTML documentation.
1051
1052 ENUM_VALUES_PER_LINE = 4
1053
1054 # The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
1055 # structure should be generated to display hierarchical information.
1056 # If the tag value is set to YES, a side panel will be generated
1057 # containing a tree-like index structure (just like the one that
1058 # is generated for HTML Help). For this to work a browser that supports
1059 # JavaScript, DHTML, CSS and frames is required (i.e. any modern browser).
1060 # Windows users are probably better off using the HTML help feature.
1061
1062 GENERATE_TREEVIEW = NO
1063
1064 # By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories,
1065 # and Class Hierarchy pages using a tree view instead of an ordered list.
1066
1067 USE_INLINE_TREES = NO
1068
1069 # If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be
1070 # used to set the initial width (in pixels) of the frame in which the tree
1071 # is shown.
1072
1073 TREEVIEW_WIDTH = 250
1074
1075 # When the EXT_LINKS_IN_WINDOW option is set to YES doxygen will open
1076 # links to external symbols imported via tag files in a separate window.
1077
1078 EXT_LINKS_IN_WINDOW = NO
1079
1080 # Use this tag to change the font size of Latex formulas included
1081 # as images in the HTML documentation. The default is 10. Note that
1082 # when you change the font size after a successful doxygen run you need
1083 # to manually remove any form_*.png images from the HTML output directory
1084 # to force them to be regenerated.
1085
1086 FORMULA_FONTSIZE = 10
1087
1088 # Use the FORMULA_TRANPARENT tag to determine whether or not the images
1089 # generated for formulas are transparent PNGs. Transparent PNGs are
1090 # not supported properly for IE 6.0, but are supported on all modern browsers.
1091 # Note that when changing this option you need to delete any form_*.png files
1092 # in the HTML output before the changes have effect.
1093
1094 FORMULA_TRANSPARENT = YES
1095
1096 # When the SEARCHENGINE tag is enabled doxygen will generate a search box
1097 # for the HTML output. The underlying search engine uses javascript
1098 # and DHTML and should work on any modern browser. Note that when using
1099 # HTML help (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets
1100 # (GENERATE_DOCSET) there is already a search function so this one should
1101 # typically be disabled. For large projects the javascript based search engine
1102 # can be slow, then enabling SERVER_BASED_SEARCH may provide a better solution.
1103
1104 SEARCHENGINE = YES
1105
1106 # When the SERVER_BASED_SEARCH tag is enabled the search engine will be
1107 # implemented using a PHP enabled web server instead of at the web client
1108 # using Javascript. Doxygen will generate the search PHP script and index
1109 # file to put on the web server. The advantage of the server
1110 # based approach is that it scales better to large projects and allows
1111 # full text search. The disadvances is that it is more difficult to setup
1112 # and does not have live searching capabilities.
1113
1114 SERVER_BASED_SEARCH = NO
1115
1116 #---------------------------------------------------------------------------
1117 # configuration options related to the LaTeX output
1118 #---------------------------------------------------------------------------
1119
1120 # If the GENERATE_LATEX tag is set to YES (the default) Doxygen will
1121 # generate Latex output.
1122
1123 GENERATE_LATEX = YES
1124
1125 # The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put.
1126 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1127 # put in front of it. If left blank `latex' will be used as the default path.
1128
1129 LATEX_OUTPUT = latex
1130
1131 # The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
1132 # invoked. If left blank `latex' will be used as the default command name.
1133 # Note that when enabling USE_PDFLATEX this option is only used for
1134 # generating bitmaps for formulas in the HTML output, but not in the
1135 # Makefile that is written to the output directory.
1136
1137 LATEX_CMD_NAME = latex
1138
1139 # The MAKEINDEX_CMD_NAME tag can be used to specify the command name to
1140 # generate index for LaTeX. If left blank `makeindex' will be used as the
1141 # default command name.
1142
1143 MAKEINDEX_CMD_NAME = makeindex
1144
1145 # If the COMPACT_LATEX tag is set to YES Doxygen generates more compact
1146 # LaTeX documents. This may be useful for small projects and may help to
1147 # save some trees in general.
1148
1149 COMPACT_LATEX = YES
1150
1151 # The PAPER_TYPE tag can be used to set the paper type that is used
1152 # by the printer. Possible values are: a4, a4wide, letter, legal and
1153 # executive. If left blank a4wide will be used.
1154
1155 PAPER_TYPE = a4wide
1156
1157 # The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX
1158 # packages that should be included in the LaTeX output.
1159
1160 EXTRA_PACKAGES =
1161
1162 # The LATEX_HEADER tag can be used to specify a personal LaTeX header for
1163 # the generated latex document. The header should contain everything until
1164 # the first chapter. If it is left blank doxygen will generate a
1165 # standard header. Notice: only use this tag if you know what you are doing!
1166
1167 LATEX_HEADER =
1168
1169 # If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated
1170 # is prepared for conversion to pdf (using ps2pdf). The pdf file will
1171 # contain links (just like the HTML output) instead of page references
1172 # This makes the output suitable for online browsing using a pdf viewer.
1173
1174 PDF_HYPERLINKS = YES
1175
1176 # If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of
1177 # plain latex in the generated Makefile. Set this option to YES to get a
1178 # higher quality PDF documentation.
1179
1180 USE_PDFLATEX = YES
1181
1182 # If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode.
1183 # command to the generated LaTeX files. This will instruct LaTeX to keep
1184 # running if errors occur, instead of asking the user for help.
1185 # This option is also used when generating formulas in HTML.
1186
1187 LATEX_BATCHMODE = NO
1188
1189 # If LATEX_HIDE_INDICES is set to YES then doxygen will not
1190 # include the index chapters (such as File Index, Compound Index, etc.)
1191 # in the output.
1192
1193 LATEX_HIDE_INDICES = NO
1194
1195 # If LATEX_SOURCE_CODE is set to YES then doxygen will include
1196 # source code with syntax highlighting in the LaTeX output.
1197 # Note that which sources are shown also depends on other settings
1198 # such as SOURCE_BROWSER.
1199
1200 LATEX_SOURCE_CODE = NO
1201
1202 #---------------------------------------------------------------------------
1203 # configuration options related to the RTF output
1204 #---------------------------------------------------------------------------
1205
1206 # If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output
1207 # The RTF output is optimized for Word 97 and may not look very pretty with
1208 # other RTF readers or editors.
1209
1210 GENERATE_RTF = NO
1211
1212 # The RTF_OUTPUT tag is used to specify where the RTF docs will be put.
1213 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1214 # put in front of it. If left blank `rtf' will be used as the default path.
1215
1216 RTF_OUTPUT = rtf
1217
1218 # If the COMPACT_RTF tag is set to YES Doxygen generates more compact
1219 # RTF documents. This may be useful for small projects and may help to
1220 # save some trees in general.
1221
1222 COMPACT_RTF = NO
1223
1224 # If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated
1225 # will contain hyperlink fields. The RTF file will
1226 # contain links (just like the HTML output) instead of page references.
1227 # This makes the output suitable for online browsing using WORD or other
1228 # programs which support those fields.
1229 # Note: wordpad (write) and others do not support links.
1230
1231 RTF_HYPERLINKS = NO
1232
1233 # Load stylesheet definitions from file. Syntax is similar to doxygen's
1234 # config file, i.e. a series of assignments. You only have to provide
1235 # replacements, missing definitions are set to their default value.
1236
1237 RTF_STYLESHEET_FILE =
1238
1239 # Set optional variables used in the generation of an rtf document.
1240 # Syntax is similar to doxygen's config file.
1241
1242 RTF_EXTENSIONS_FILE =
1243
1244 #---------------------------------------------------------------------------
1245 # configuration options related to the man page output
1246 #---------------------------------------------------------------------------
1247
1248 # If the GENERATE_MAN tag is set to YES (the default) Doxygen will
1249 # generate man pages
1250
1251 GENERATE_MAN = NO
1252
1253 # The MAN_OUTPUT tag is used to specify where the man pages will be put.
1254 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1255 # put in front of it. If left blank `man' will be used as the default path.
1256
1257 MAN_OUTPUT = man
1258
1259 # The MAN_EXTENSION tag determines the extension that is added to
1260 # the generated man pages (default is the subroutine's section .3)
1261
1262 MAN_EXTENSION = .3
1263
1264 # If the MAN_LINKS tag is set to YES and Doxygen generates man output,
1265 # then it will generate one additional man file for each entity
1266 # documented in the real man page(s). These additional files
1267 # only source the real man page, but without them the man command
1268 # would be unable to find the correct page. The default is NO.
1269
1270 MAN_LINKS = NO
1271
1272 #---------------------------------------------------------------------------
1273 # configuration options related to the XML output
1274 #---------------------------------------------------------------------------
1275
1276 # If the GENERATE_XML tag is set to YES Doxygen will
1277 # generate an XML file that captures the structure of
1278 # the code including all documentation.
1279
1280 GENERATE_XML = NO
1281
1282 # The XML_OUTPUT tag is used to specify where the XML pages will be put.
1283 # If a relative path is entered the value of OUTPUT_DIRECTORY will be
1284 # put in front of it. If left blank `xml' will be used as the default path.
1285
1286 XML_OUTPUT = xml
1287
1288 # The XML_SCHEMA tag can be used to specify an XML schema,
1289 # which can be used by a validating XML parser to check the
1290 # syntax of the XML files.
1291
1292 XML_SCHEMA =
1293
1294 # The XML_DTD tag can be used to specify an XML DTD,
1295 # which can be used by a validating XML parser to check the
1296 # syntax of the XML files.
1297
1298 XML_DTD =
1299
1300 # If the XML_PROGRAMLISTING tag is set to YES Doxygen will
1301 # dump the program listings (including syntax highlighting
1302 # and cross-referencing information) to the XML output. Note that
1303 # enabling this will significantly increase the size of the XML output.
1304
1305 XML_PROGRAMLISTING = YES
1306
1307 #---------------------------------------------------------------------------
1308 # configuration options for the AutoGen Definitions output
1309 #---------------------------------------------------------------------------
1310
1311 # If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will
1312 # generate an AutoGen Definitions (see autogen.sf.net) file
1313 # that captures the structure of the code including all
1314 # documentation. Note that this feature is still experimental
1315 # and incomplete at the moment.
1316
1317 GENERATE_AUTOGEN_DEF = NO
1318
1319 #---------------------------------------------------------------------------
1320 # configuration options related to the Perl module output
1321 #---------------------------------------------------------------------------
1322
1323 # If the GENERATE_PERLMOD tag is set to YES Doxygen will
1324 # generate a Perl module file that captures the structure of
1325 # the code including all documentation. Note that this
1326 # feature is still experimental and incomplete at the
1327 # moment.
1328
1329 GENERATE_PERLMOD = NO
1330
1331 # If the PERLMOD_LATEX tag is set to YES Doxygen will generate
1332 # the necessary Makefile rules, Perl scripts and LaTeX code to be able
1333 # to generate PDF and DVI output from the Perl module output.
1334
1335 PERLMOD_LATEX = NO
1336
1337 # If the PERLMOD_PRETTY tag is set to YES the Perl module output will be
1338 # nicely formatted so it can be parsed by a human reader. This is useful
1339 # if you want to understand what is going on. On the other hand, if this
1340 # tag is set to NO the size of the Perl module output will be much smaller
1341 # and Perl will parse it just the same.
1342
1343 PERLMOD_PRETTY = YES
1344
1345 # The names of the make variables in the generated doxyrules.make file
1346 # are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX.
1347 # This is useful so different doxyrules.make files included by the same
1348 # Makefile don't overwrite each other's variables.
1349
1350 PERLMOD_MAKEVAR_PREFIX =
1351
1352 #---------------------------------------------------------------------------
1353 # Configuration options related to the preprocessor
1354 #---------------------------------------------------------------------------
1355
1356 # If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will
1357 # evaluate all C-preprocessor directives found in the sources and include
1358 # files.
1359
1360 ENABLE_PREPROCESSING = YES
1361
1362 # If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro
1363 # names in the source code. If set to NO (the default) only conditional
1364 # compilation will be performed. Macro expansion can be done in a controlled
1365 # way by setting EXPAND_ONLY_PREDEF to YES.
1366
1367 MACRO_EXPANSION = NO
1368
1369 # If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES
1370 # then the macro expansion is limited to the macros specified with the
1371 # PREDEFINED and EXPAND_AS_DEFINED tags.
1372
1373 EXPAND_ONLY_PREDEF = NO
1374
1375 # If the SEARCH_INCLUDES tag is set to YES (the default) the includes files
1376 # in the INCLUDE_PATH (see below) will be search if a #include is found.
1377
1378 SEARCH_INCLUDES = YES
1379
1380 # The INCLUDE_PATH tag can be used to specify one or more directories that
1381 # contain include files that are not input files but should be processed by
1382 # the preprocessor.
1383
1384 INCLUDE_PATH =
1385
1386 # You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
1387 # patterns (like *.h and *.hpp) to filter out the header-files in the
1388 # directories. If left blank, the patterns specified with FILE_PATTERNS will
1389 # be used.
1390
1391 INCLUDE_FILE_PATTERNS =
1392
1393 # The PREDEFINED tag can be used to specify one or more macro names that
1394 # are defined before the preprocessor is started (similar to the -D option of
1395 # gcc). The argument of the tag is a list of macros of the form: name
1396 # or name=definition (no spaces). If the definition and the = are
1397 # omitted =1 is assumed. To prevent a macro definition from being
1398 # undefined via #undef or recursively expanded use the := operator
1399 # instead of the = operator.
1400
1401 PREDEFINED =
1402
1403 # If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then
1404 # this tag can be used to specify a list of macro names that should be expanded.
1405 # The macro definition that is found in the sources will be used.
1406 # Use the PREDEFINED tag if you want to use a different macro definition.
1407
1408 EXPAND_AS_DEFINED =
1409
1410 # If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then
1411 # doxygen's preprocessor will remove all function-like macros that are alone
1412 # on a line, have an all uppercase name, and do not end with a semicolon. Such
1413 # function macros are typically used for boiler-plate code, and will confuse
1414 # the parser if not removed.
1415
1416 SKIP_FUNCTION_MACROS = YES
1417
1418 #---------------------------------------------------------------------------
1419 # Configuration::additions related to external references
1420 #---------------------------------------------------------------------------
1421
1422 # The TAGFILES option can be used to specify one or more tagfiles.
1423 # Optionally an initial location of the external documentation
1424 # can be added for each tagfile. The format of a tag file without
1425 # this location is as follows:
1426 # TAGFILES = file1 file2 ...
1427 # Adding location for the tag files is done as follows:
1428 # TAGFILES = file1=loc1 "file2 = loc2" ...
1429 # where "loc1" and "loc2" can be relative or absolute paths or
1430 # URLs. If a location is present for each tag, the installdox tool
1431 # does not have to be run to correct the links.
1432 # Note that each tag file must have a unique name
1433 # (where the name does NOT include the path)
1434 # If a tag file is not located in the directory in which doxygen
1435 # is run, you must also specify the path to the tagfile here.
1436
1437 TAGFILES =
1438
1439 # When a file name is specified after GENERATE_TAGFILE, doxygen will create
1440 # a tag file that is based on the input files it reads.
1441
1442 GENERATE_TAGFILE =
1443
1444 # If the ALLEXTERNALS tag is set to YES all external classes will be listed
1445 # in the class index. If set to NO only the inherited external classes
1446 # will be listed.
1447
1448 ALLEXTERNALS = NO
1449
1450 # If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed
1451 # in the modules index. If set to NO, only the current project's groups will
1452 # be listed.
1453
1454 EXTERNAL_GROUPS = YES
1455
1456 # The PERL_PATH should be the absolute path and name of the perl script
1457 # interpreter (i.e. the result of `which perl').
1458
1459 PERL_PATH = /usr/bin/perl
1460
1461 #---------------------------------------------------------------------------
1462 # Configuration options related to the dot tool
1463 #---------------------------------------------------------------------------
1464
1465 # If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will
1466 # generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base
1467 # or super classes. Setting the tag to NO turns the diagrams off. Note that
1468 # this option is superseded by the HAVE_DOT option below. This is only a
1469 # fallback. It is recommended to install and use dot, since it yields more
1470 # powerful graphs.
1471
1472 CLASS_DIAGRAMS = YES
1473
1474 # You can define message sequence charts within doxygen comments using the \msc
1475 # command. Doxygen will then run the mscgen tool (see
1476 # http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the
1477 # documentation. The MSCGEN_PATH tag allows you to specify the directory where
1478 # the mscgen tool resides. If left empty the tool is assumed to be found in the
1479 # default search path.
1480
1481 MSCGEN_PATH =
1482
1483 # If set to YES, the inheritance and collaboration graphs will hide
1484 # inheritance and usage relations if the target is undocumented
1485 # or is not a class.
1486
1487 HIDE_UNDOC_RELATIONS = YES
1488
1489 # If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
1490 # available from the path. This tool is part of Graphviz, a graph visualization
1491 # toolkit from AT&T and Lucent Bell Labs. The other options in this section
1492 # have no effect if this option is set to NO (the default)
1493
1494 HAVE_DOT = NO
1495
1496 # The DOT_NUM_THREADS specifies the number of dot invocations doxygen is
1497 # allowed to run in parallel. When set to 0 (the default) doxygen will
1498 # base this on the number of processors available in the system. You can set it
1499 # explicitly to a value larger than 0 to get control over the balance
1500 # between CPU load and processing speed.
1501
1502 DOT_NUM_THREADS = 0
1503
1504 # By default doxygen will write a font called FreeSans.ttf to the output
1505 # directory and reference it in all dot files that doxygen generates. This
1506 # font does not include all possible unicode characters however, so when you need
1507 # these (or just want a differently looking font) you can specify the font name
1508 # using DOT_FONTNAME. You need need to make sure dot is able to find the font,
1509 # which can be done by putting it in a standard location or by setting the
1510 # DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory
1511 # containing the font.
1512
1513 DOT_FONTNAME = FreeSans.ttf
1514
1515 # The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs.
1516 # The default size is 10pt.
1517
1518 DOT_FONTSIZE = 10
1519
1520 # By default doxygen will tell dot to use the output directory to look for the
1521 # FreeSans.ttf font (which doxygen will put there itself). If you specify a
1522 # different font using DOT_FONTNAME you can set the path where dot
1523 # can find it using this tag.
1524
1525 DOT_FONTPATH =
1526
1527 # If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen
1528 # will generate a graph for each documented class showing the direct and
1529 # indirect inheritance relations. Setting this tag to YES will force the
1530 # the CLASS_DIAGRAMS tag to NO.
1531
1532 CLASS_GRAPH = YES
1533
1534 # If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen
1535 # will generate a graph for each documented class showing the direct and
1536 # indirect implementation dependencies (inheritance, containment, and
1537 # class references variables) of the class with other documented classes.
1538
1539 COLLABORATION_GRAPH = YES
1540
1541 # If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen
1542 # will generate a graph for groups, showing the direct groups dependencies
1543
1544 GROUP_GRAPHS = YES
1545
1546 # If the UML_LOOK tag is set to YES doxygen will generate inheritance and
1547 # collaboration diagrams in a style similar to the OMG's Unified Modeling
1548 # Language.
1549
1550 UML_LOOK = NO
1551
1552 # If set to YES, the inheritance and collaboration graphs will show the
1553 # relations between templates and their instances.
1554
1555 TEMPLATE_RELATIONS = NO
1556
1557 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT
1558 # tags are set to YES then doxygen will generate a graph for each documented
1559 # file showing the direct and indirect include dependencies of the file with
1560 # other documented files.
1561
1562 INCLUDE_GRAPH = YES
1563
1564 # If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and
1565 # HAVE_DOT tags are set to YES then doxygen will generate a graph for each
1566 # documented header file showing the documented files that directly or
1567 # indirectly include this file.
1568
1569 INCLUDED_BY_GRAPH = YES
1570
1571 # If the CALL_GRAPH and HAVE_DOT options are set to YES then
1572 # doxygen will generate a call dependency graph for every global function
1573 # or class method. Note that enabling this option will significantly increase
1574 # the time of a run. So in most cases it will be better to enable call graphs
1575 # for selected functions only using the \callgraph command.
1576
1577 CALL_GRAPH = NO
1578
1579 # If the CALLER_GRAPH and HAVE_DOT tags are set to YES then
1580 # doxygen will generate a caller dependency graph for every global function
1581 # or class method. Note that enabling this option will significantly increase
1582 # the time of a run. So in most cases it will be better to enable caller
1583 # graphs for selected functions only using the \callergraph command.
1584
1585 CALLER_GRAPH = NO
1586
1587 # If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen
1588 # will graphical hierarchy of all classes instead of a textual one.
1589
1590 GRAPHICAL_HIERARCHY = YES
1591
1592 # If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES
1593 # then doxygen will show the dependencies a directory has on other directories
1594 # in a graphical way. The dependency relations are determined by the #include
1595 # relations between the files in the directories.
1596
1597 DIRECTORY_GRAPH = YES
1598
1599 # The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
1600 # generated by dot. Possible values are png, jpg, or gif
1601 # If left blank png will be used.
1602
1603 DOT_IMAGE_FORMAT = png
1604
1605 # The tag DOT_PATH can be used to specify the path where the dot tool can be
1606 # found. If left blank, it is assumed the dot tool can be found in the path.
1607
1608 DOT_PATH =
1609
1610 # The DOTFILE_DIRS tag can be used to specify one or more directories that
1611 # contain dot files that are included in the documentation (see the
1612 # \dotfile command).
1613
1614 DOTFILE_DIRS =
1615
1616 # The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of
1617 # nodes that will be shown in the graph. If the number of nodes in a graph
1618 # becomes larger than this value, doxygen will truncate the graph, which is
1619 # visualized by representing a node as a red box. Note that doxygen if the
1620 # number of direct children of the root node in a graph is already larger than
1621 # DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note
1622 # that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
1623
1624 DOT_GRAPH_MAX_NODES = 50
1625
1626 # The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the
1627 # graphs generated by dot. A depth value of 3 means that only nodes reachable
1628 # from the root by following a path via at most 3 edges will be shown. Nodes
1629 # that lay further from the root node will be omitted. Note that setting this
1630 # option to 1 or 2 may greatly reduce the computation time needed for large
1631 # code bases. Also note that the size of a graph can be further restricted by
1632 # DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
1633
1634 MAX_DOT_GRAPH_DEPTH = 0
1635
1636 # Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
1637 # background. This is disabled by default, because dot on Windows does not
1638 # seem to support this out of the box. Warning: Depending on the platform used,
1639 # enabling this option may lead to badly anti-aliased labels on the edges of
1640 # a graph (i.e. they become hard to read).
1641
1642 DOT_TRANSPARENT = NO
1643
1644 # Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output
1645 # files in one run (i.e. multiple -o and -T options on the command line). This
1646 # makes dot run faster, but since only newer versions of dot (>1.8.10)
1647 # support this, this feature is disabled by default.
1648
1649 DOT_MULTI_TARGETS = NO
1650
1651 # If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will
1652 # generate a legend page explaining the meaning of the various boxes and
1653 # arrows in the dot generated graphs.
1654
1655 GENERATE_LEGEND = YES
1656
1657 # If the DOT_CLEANUP tag is set to YES (the default) Doxygen will
1658 # remove the intermediate dot files that are used to generate
1659 # the various graphs.
1660
1661 DOT_CLEANUP = YES
1 NO CONTENT: new file 100755, binary diff hidden
NO CONTENT: new file 100755, binary diff hidden
1 NO CONTENT: new file 100755, binary diff hidden
NO CONTENT: new file 100755, binary diff hidden
@@ -0,0 +1,1
1 load driver_APB_lcd_ctrlr
@@ -0,0 +1,42
1 <?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
2 <CodeBlocks_project_file>
3 <FileVersion major="1" minor="6" />
4 <Project>
5 <Option title="driver_APB_lcd_ctrlr" />
6 <Option pch_mode="2" />
7 <Option compiler="sparc_gcc" />
8 <Build>
9 <Target title="Debug">
10 <Option output="bin/Debug/driver_APB_lcd_ctrlr" prefix_auto="1" extension_auto="1" />
11 <Option object_output="obj/Debug/" />
12 <Option type="1" />
13 <Option compiler="sparc_gcc" />
14 <Compiler>
15 <Add option="-g" />
16 </Compiler>
17 </Target>
18 <Target title="Release">
19 <Option output="bin/Release/driver_APB_lcd_ctrlr" prefix_auto="1" extension_auto="1" />
20 <Option object_output="obj/Release/" />
21 <Option type="1" />
22 <Option compiler="sparc_gcc" />
23 <Compiler>
24 <Add option="-O2" />
25 </Compiler>
26 <Linker>
27 <Add option="-s" />
28 </Linker>
29 </Target>
30 </Build>
31 <Compiler>
32 <Add option="-Wall" />
33 </Compiler>
34 <Unit filename="main.c">
35 <Option compilerVar="CC" />
36 </Unit>
37 <Extensions>
38 <code_completion />
39 <debugger />
40 </Extensions>
41 </Project>
42 </CodeBlocks_project_file>
@@ -0,0 +1,25
1 # depslib dependency file v1.0
2 1290187550 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c
3 "stdio.h"
4 "lpp_apb_functions.h"
5 "apb_lcd_driver.h"
6
7 1290183144 /home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/apb_lcd_driver.h
8
9 1290183058 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/apb_lcd_driver.c
10 "apb_lcd_driver.h"
11 "lpp_apb_functions.h"
12 "lpp_apb_functions.h"
13 <stdio.h>
14
15 1290413299 /home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/lpp_apb_functions.h
16
17 1290416373 source:/home/alexis/Prog/Leon3/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/lpp_apb_functions.c
18 "lpp_apb_functions.h"
19 <stdio.h>
20
21 1291013871 source:/home/alexis/Prog/Leon3/exemples/APB_lcd_ctrlr/driver_APB_lcd_ctrlr/main.c
22 "stdio.h"
23 "lpp_apb_functions.h"
24 "apb_lcd_driver.h"
25
@@ -0,0 +1,7
1 <?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
2 <CodeBlocks_layout_file>
3 <ActiveTarget name="Release" />
4 <File name="main.c" open="1" top="1" tabpos="0">
5 <Cursor position="79" topLine="0" />
6 </File>
7 </CodeBlocks_layout_file>
@@ -0,0 +1,59
1 #include "stdio.h"
2 #include "lpp_apb_functions.h"
3 #include "apb_lcd_driver.h"
4
5
6
7 int main()
8 {
9 lcd_device* lcd0;
10 struct apbdevinfo lcd0info;
11 lcd0 = lcdopen(0);
12 char message[lcdCharCnt+1];
13 if(lcd0!= NULL)
14 {
15 apbgetdeviceinfofromid(LPP_LCD_CTRLR,VENDOR_LPP,0,&lcd0info);
16 printf("find lcd device @ %8x\n",(int)lcd0);
17 apbprintdeviceinfo(lcd0info);
18 }
19
20 printf("hello\n");
21 lcdclear(lcd0);
22 int d=0;
23 while(d!=10)
24 {
25 scanf("%d",&d);
26 switch(d)
27 {
28 case 0:
29 lcdsendcmd(lcd0,CursorOFF&lcd_100us);
30 printf("cursor OFF \n");
31 sprintf(message,"cursor OFF %d",d);
32 lcdprint(lcd0,0,message);
33 break;
34 case 1:
35 lcdsendcmd(lcd0,CursorON&lcd_100us);
36 printf("cursor ON \n");
37 sprintf(message,"cursor ON %d ",d);
38 lcdprint(lcd0,0,message);
39 break;
40 case 2:
41 sprintf(message,"Test line 2_%d\nline2",d);
42 lcdprint(lcd0,0,message);
43 break;
44 case 3:
45 apbprintdeviceslist();
46 break;
47 case 10:
48 sprintf(message,"QUIT %d ",d);
49 lcdprint(lcd0,0,message);
50 return 0;
51 break;
52 default:
53 sprintf(message,"Not a CMD %d ",d);
54 lcdprint(lcd0,0,message);
55 break;
56 }
57 }
58 return 0;
59 }
@@ -0,0 +1,95
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #ifndef APB_LCD_DRIVER_H
20 #define APB_LCD_DRIVER_H
21
22 #define readyFlag 1024
23 #define lcdCharCnt 80
24
25
26 /** @todo implemente some shift functions */
27
28
29 /*===================================================
30 T Y P E S D E F
31 ====================================================*/
32
33
34
35 /** error type used for most of lcd functions */
36 typedef int lcd_err;
37
38 /** lcd error ennum for higher abstraction level when error decoding */
39 enum lcd_error
40 {
41 lcd_error_no_error, /**< no error append while function execution */
42 lcd_error_not_ready, /**< the lcd isn't available*/
43 lcd_error_not_openned, /**< the device guiven to the function isn't opened*/
44 lcd_error_too_long /**< the string guiven to the lcd is bigger than the lcd frame buffer memory */
45 };
46
47
48 /** for each command sended to the lcd driver a time should be guiven according to the lcd datasheet */
49 enum lcd_CMD_time
50 {
51 lcd_4us = 0x0FF,
52 lcd_100us = 0x1FF,
53 lcd_4ms = 0x2FF,
54 lcd_20ms = 0x3FF
55 };
56
57 /** list of availiable lcd commands use whith an AND mask whith cmd time */
58 enum lcd_CMD
59 {
60 CursorON = 0xF0E,
61 CursorOFF = 0xF0C
62 };
63
64 /** structure representing the lcd registers */
65 struct lcd_driver
66 {
67 int cfg_reg; /**< Configuration register composed of Ready flag [10], CMD time Value [9:8], CMD to send [7:0]*/
68 int Frame_buff[lcdCharCnt]; /**< Frame Buffer space each address corresponds to a char on the lcd screen */
69 };
70
71 typedef struct lcd_driver lcd_device;
72
73 /*===================================================
74 F U N C T I O N S
75 ====================================================*/
76
77 /** says if the lcd is busy */
78 int lcdbusy(lcd_device * lcd);
79
80 /** Opens and returns the counth lcd found on APB bus else NULL */
81 lcd_device* lcdopen(int count);
82
83 /** Sends a command to the given device, don't forget to guive the time of the cmd */
84 lcd_err lcdsendcmd(lcd_device* lcd,int cmd);
85
86 /** Sets a char on the given device at given position */
87 lcd_err lcdsetchar(lcd_device* lcd,int position,const char value);
88
89 /** Prints a message on the given device at given position, "\n" is understood but for others use sprintf before */
90 lcd_err lcdprint(lcd_device* lcd,int position,const char* value);
91
92 /** Writes space character on each adress of the lcd screen */
93 lcd_err lcdclear(lcd_device* lcd);
94
95 #endif
@@ -0,0 +1,65
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #ifndef LPP_APB_FUNCTIONS_H
20 #define LPP_APB_FUNCTIONS_H
21
22 #define APB_TBL_HEAD 0x800FF000
23 #define APB_BASE_ADDRS 0x80000000
24 #define APB_MAX_DEVICES 256
25
26 #define VENDOR_LPP 0x19
27
28 #define ROCKET_TM 0x001
29 #define otherCore 0x002
30 #define LPP_SIMPLE_DIODE 0x003
31 #define LPP_MULTI_DIODE 0x004
32 #define LPP_LCD_CTRLR 0x005
33
34 /** @todo implemente a descriptor structure for any APB device */
35
36
37 /** Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature */
38 struct apbPnPreg
39 {
40 int idReg; /**< id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */
41 int bar; /**< Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */
42 };
43
44 struct apbdevinfo
45 {
46 int vendorID;
47 int productID;
48 int version;
49 int irq;
50 int address;
51 int mask;
52 };
53
54 /** This Function scans APB devices table and returns counth device according to VID and PID */
55 int* apbgetdevice(int PID,int VID,int count);
56 /** This Function scans APB devices table and returns counth device informations according VID and PID */
57 void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo);
58
59 void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo);
60
61
62 void apbprintdeviceinfo(struct apbdevinfo devinfo);
63
64 void apbprintdeviceslist();
65 #endif // LPP_APB_FUNCTIONS_H
1 NO CONTENT: new file 100644, binary diff hidden
NO CONTENT: new file 100644, binary diff hidden
1 NO CONTENT: new file 100644, binary diff hidden
NO CONTENT: new file 100644, binary diff hidden
@@ -0,0 +1,26
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19 FILE = lpp_apb_functions
20 LIB = liblpp_apb_functions.a
21
22
23 include ../../rules.mk
24
25 all: $(FILE).a
26 @echo $(FILE)".a created"
@@ -0,0 +1,119
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #include "lpp_apb_functions.h"
20 #include <stdio.h>
21
22
23
24 int* apbgetdevice(int PID,int VID,int count)
25 {
26 struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg));
27 int id;
28 id = (PID<<12) | (VID<<24);
29 while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF))
30 {
31 if((dev->idReg & 0xFFFFF000) == id)
32 {
33 if(count == 0)
34 {
35 return (int*) (APB_BASE_ADDRS | (dev->bar&0xFFF00000)>>12);
36 }
37 count-=1;
38 }
39 dev += 1;
40 }
41 return NULL;
42 }
43
44
45 void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo)
46 {
47
48 devinfo->productID = (dev->idReg>>12) & 0xFFF;
49 devinfo->vendorID = (dev->idReg>>24) & 0xFF;
50 devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS;
51 devinfo->irq = dev->idReg & 0x1F;
52 devinfo->mask = (dev->bar>>4)&0xFFF;
53 devinfo->version = (dev->idReg>>5)&0x1F;
54 }
55
56 void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo)
57 {
58 struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD + sizeof(struct apbPnPreg));
59 int id;
60 id = (PID<<12) | (VID<<24);
61 while(dev != (struct apbPnPreg*)(APB_TBL_HEAD|0xFFF))
62 {
63 if((dev->idReg & 0xFFFFF000) == id)
64 {
65 if(count == 0)
66 {
67 devinfo->productID = PID;
68 devinfo->vendorID = VID;
69 devinfo->address = ((dev->bar>>12) & 0xFFF00)|APB_BASE_ADDRS;
70 devinfo->irq = dev->idReg & 0x1F;
71 devinfo->mask = (dev->bar>>4)&0xFFF;
72 devinfo->version = (dev->idReg>>5)&0x1F;
73 return;
74 }
75 count-=1;
76 }
77 dev += 1;
78 }
79 }
80
81
82
83 void apbprintdeviceinfo(struct apbdevinfo devinfo)
84 {
85 printf("Vendor ID = 0x%x\n",devinfo.vendorID);
86 printf("Product ID = 0x%x\n",devinfo.productID);
87 printf("Device address = 0x%x\n",devinfo.address);
88 printf("Device Irq = %d\n",devinfo.irq);
89 printf("Device mask = 0x%x\n",devinfo.mask);
90 printf("Device Version = %d\n",devinfo.version);
91 }
92
93
94 void apbprintdeviceslist()
95 {
96 struct apbdevinfo devinfo;
97 struct apbPnPreg* dev = (struct apbPnPreg*)(APB_TBL_HEAD );//+ sizeof(struct apbPnPreg));
98 int i =0;
99 int fisrtBAR;
100 while((dev->idReg == 0) && (i<APB_MAX_DEVICES))
101 {
102 dev += 1;
103 i+=1;
104 }
105 fisrtBAR = dev->bar;
106 for(i=i;i<APB_MAX_DEVICES;i++)
107 {
108 if((dev->idReg != 0 ))
109 {
110 apbgetdeviceinfofromdevptr(dev,&devinfo);
111 printf("\n\n======= new device found========\n");
112 apbprintdeviceinfo(devinfo);
113 }
114 dev += 1;
115 if(dev->bar == fisrtBAR)
116 break;
117 }
118 }
119
@@ -0,0 +1,65
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #ifndef LPP_APB_FUNCTIONS_H
20 #define LPP_APB_FUNCTIONS_H
21
22 #define APB_TBL_HEAD 0x800FF000
23 #define APB_BASE_ADDRS 0x80000000
24 #define APB_MAX_DEVICES 256
25
26 #define VENDOR_LPP 0x19
27
28 #define ROCKET_TM 0x001
29 #define otherCore 0x002
30 #define LPP_SIMPLE_DIODE 0x003
31 #define LPP_MULTI_DIODE 0x004
32 #define LPP_LCD_CTRLR 0x005
33
34 /** @todo implemente a descriptor structure for any APB device */
35
36
37 /** Structure representing a device descriptor register on Grlib's AHB2APB brige with plug and play feature */
38 struct apbPnPreg
39 {
40 int idReg; /**< id register composed of Vendor ID [31:24], Device ID [23:12], CT [11:10], Version [9:5], IRQ [4:0] */
41 int bar; /**< Bank Address Register composed of Device's ADDRESS [31:20], MASK [14:4], TYPE [3:0] */
42 };
43
44 struct apbdevinfo
45 {
46 int vendorID;
47 int productID;
48 int version;
49 int irq;
50 int address;
51 int mask;
52 };
53
54 /** This Function scans APB devices table and returns counth device according to VID and PID */
55 int* apbgetdevice(int PID,int VID,int count);
56 /** This Function scans APB devices table and returns counth device informations according VID and PID */
57 void apbgetdeviceinfofromid(int PID,int VID,int count,struct apbdevinfo* devinfo);
58
59 void apbgetdeviceinfofromdevptr(const struct apbPnPreg* dev,struct apbdevinfo* devinfo);
60
61
62 void apbprintdeviceinfo(struct apbdevinfo devinfo);
63
64 void apbprintdeviceslist();
65 #endif // LPP_APB_FUNCTIONS_H
@@ -0,0 +1,25
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19 FILE = apb_lcd_driver
20 LIB = liblpp_lcd_driver.a
21
22 include ../../rules.mk
23
24 all: $(FILE).a
25 @echo $(FILE)".a created"
@@ -0,0 +1,115
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #include "apb_lcd_driver.h"
20 #include "lpp_apb_functions.h"
21 #include "lpp_apb_functions.h"
22 #include <stdio.h>
23
24 int lcdbusy(lcd_device* lcd)
25 {
26 return (!(lcd->cfg_reg&readyFlag)==readyFlag);
27 }
28
29
30 lcd_device* lcdopen(int count)
31 {
32 lcd_device* dev;
33 dev = (lcd_device*) apbgetdevice(LPP_LCD_CTRLR,VENDOR_LPP,count);
34 return dev;
35 //* scan APB bus an return the count(th) lcd controler */
36
37 }
38
39
40
41 lcd_err lcdsendcmd(lcd_device* lcd,int cmd)
42 {
43 lcd_err err;
44 err = lcd_error_no_error;
45 if (lcd!=NULL)
46 {
47 while(lcdbusy(lcd));
48 lcd->cfg_reg = cmd;
49 return err;
50 }
51 else
52 {
53 err = lcd_error_not_openned ;
54 return err;
55 }
56 }
57
58
59
60 lcd_err lcdsetchar(lcd_device* lcd,int position,const char value)
61 {
62 lcd_err err;
63 err = lcd_error_no_error;
64 return err;
65 }
66
67
68
69 lcd_err lcdprint(lcd_device* lcd,int position,const char* value)
70 {
71 lcd_err err;
72 err = lcd_error_no_error;
73 if (lcd!=NULL)
74 {
75 int i = position;
76 int n = 0;
77 while(value[n]!= '\0' && i<lcdCharCnt)
78 {
79 if(value[n] == '\n')
80 {
81 i=40;n++;
82 }
83 lcd->Frame_buff[i++] = value[n++];
84 }
85 return err;
86 }
87 else
88 {
89 err = lcd_error_not_openned ;
90 return err;
91 }
92 }
93
94
95
96 lcd_err lcdclear(lcd_device* lcd)
97 {
98 lcd_err err;
99 err = lcd_error_no_error;
100 if (lcd!=NULL)
101 {
102 int i=0;
103 for(i=0;i<lcdCharCnt;i++)
104 {
105 lcd->Frame_buff[i] = ' ';
106 }
107 return err;
108 }
109 err = lcd_error_not_openned ;
110 return err;
111 }
112
113
114
115
@@ -0,0 +1,95
1 /*------------------------------------------------------------------------------
2 -- This file is a part of the LPP VHDL IP LIBRARY
3 -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 --
5 -- This program is free software; you can redistribute it and/or modify
6 -- it under the terms of the GNU General Public License as published by
7 -- the Free Software Foundation; either version 3 of the License, or
8 -- (at your option) any later version.
9 --
10 -- This program is distributed in the hope that it will be useful,
11 -- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 -- GNU General Public License for more details.
14 --
15 -- You should have received a copy of the GNU General Public License
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 -------------------------------------------------------------------------------*/
19 #ifndef APB_LCD_DRIVER_H
20 #define APB_LCD_DRIVER_H
21
22 #define readyFlag 1024
23 #define lcdCharCnt 80
24
25
26 /** @todo implemente some shift functions */
27
28
29 /*===================================================
30 T Y P E S D E F
31 ====================================================*/
32
33
34
35 /** error type used for most of lcd functions */
36 typedef int lcd_err;
37
38 /** lcd error ennum for higher abstraction level when error decoding */
39 enum lcd_error
40 {
41 lcd_error_no_error, /**< no error append while function execution */
42 lcd_error_not_ready, /**< the lcd isn't available*/
43 lcd_error_not_openned, /**< the device guiven to the function isn't opened*/
44 lcd_error_too_long /**< the string guiven to the lcd is bigger than the lcd frame buffer memory */
45 };
46
47
48 /** for each command sended to the lcd driver a time should be guiven according to the lcd datasheet */
49 enum lcd_CMD_time
50 {
51 lcd_4us = 0x0FF,
52 lcd_100us = 0x1FF,
53 lcd_4ms = 0x2FF,
54 lcd_20ms = 0x3FF
55 };
56
57 /** list of availiable lcd commands use whith an AND mask whith cmd time */
58 enum lcd_CMD
59 {
60 CursorON = 0xF0E,
61 CursorOFF = 0xF0C
62 };
63
64 /** structure representing the lcd registers */
65 struct lcd_driver
66 {
67 int cfg_reg; /**< Configuration register composed of Ready flag [10], CMD time Value [9:8], CMD to send [7:0]*/
68 int Frame_buff[lcdCharCnt]; /**< Frame Buffer space each address corresponds to a char on the lcd screen */
69 };
70
71 typedef struct lcd_driver lcd_device;
72
73 /*===================================================
74 F U N C T I O N S
75 ====================================================*/
76
77 /** says if the lcd is busy */
78 int lcdbusy(lcd_device * lcd);
79
80 /** Opens and returns the counth lcd found on APB bus else NULL */
81 lcd_device* lcdopen(int count);
82
83 /** Sends a command to the given device, don't forget to guive the time of the cmd */
84 lcd_err lcdsendcmd(lcd_device* lcd,int cmd);
85
86 /** Sets a char on the given device at given position */
87 lcd_err lcdsetchar(lcd_device* lcd,int position,const char value);
88
89 /** Prints a message on the given device at given position, "\n" is understood but for others use sprintf before */
90 lcd_err lcdprint(lcd_device* lcd,int position,const char* value);
91
92 /** Writes space character on each adress of the lcd screen */
93 lcd_err lcdclear(lcd_device* lcd);
94
95 #endif
@@ -0,0 +1,30
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19
20 include ../rules.mk
21
22
23
24 all:
25 make all -C AMBA
26 make all -C LCD
27
28 cleanall:
29 make clean -C AMBA
30 make clean -C LCD
@@ -0,0 +1,44
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19
20 CC = sparc-elf-gcc
21 AR = sparc-elf-ar
22 LIBDIR = ../../lib/
23 INCPATH = ../../includes/
24
25
26 $(FILE): $(FILE).a
27 @echo "library ""lib"$(FILE)" created"
28
29
30 $(FILE).o:
31 mkdir tmp
32 $(CC) -c $(FILE).c -I $(INCPATH) -o tmp/$(FILE).o
33
34 $(FILE).a: $(FILE).o
35 $(AR) rs $(LIBDIR)"lib"$(FILE).a tmp/$(FILE).o
36 cp *.h $(INCPATH)
37 rm -R tmp
38
39 clean:
40 rm -f -R tmp
41 rm -f *.{o,a}
42 rm -f $(INCPATH)*.h
43 rm -f $(LIBDIR)*.{o,a}
44
@@ -0,0 +1,44
1 #------------------------------------------------------------------------------
2 #-- This file is a part of the LPP VHDL IP LIBRARY
3 #-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
4 #--
5 #-- This program is free software; you can redistribute it and/or modify
6 #-- it under the terms of the GNU General Public License as published by
7 #-- the Free Software Foundation; either version 3 of the License, or
8 #-- (at your option) any later version.
9 #--
10 #-- This program is distributed in the hope that it will be useful,
11 #-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12 #-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 #-- GNU General Public License for more details.
14 #--
15 #-- You should have received a copy of the GNU General Public License
16 #-- along with this program; if not, write to the Free Software
17 #-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 #------------------------------------------------------------------------------
19
20 CC = sparc-elf-gcc
21 AR = sparc-elf-ar
22 LIBDIR = ../../lib/
23 INCPATH = ../../includes/
24
25
26 $(FILE): $(FILE).a
27 @echo "library ""lib"$(FILE)" created"
28
29
30 $(FILE).o:
31 mkdir tmp
32 $(CC) -c $(FILE).c -I $(INCPATH) -o tmp/$(FILE).o
33
34 $(FILE).a: $(FILE).o
35 $(AR) rs $(LIBDIR)"lib"$(FILE).a tmp/$(FILE).o
36 cp *.h $(INCPATH)
37 rm -R tmp
38
39 clean:
40 rm -f -R tmp
41 rm -f *.{o,a}
42 rm -f $(INCPATH)*.h
43 rm -f $(LIBDIR)*.{o,a}
44
@@ -11,5 +11,16 syntax: glob
11 *.eps
11 *.eps
12 *.pdf
12 *.pdf
13 *.toc
13 *.toc
14 *.map
15 *.sty
16 *.3
17 *.js
18 *.aux
19 *.idx
20 *doc*
21 *Doc*
22 *vhdlsyn.txt
23 *.orig
24 *.o
14 *~
25 *~
15
26
@@ -590,7 +590,7 WARN_LOGFILE =
590 # directories like "/usr/src/myproject". Separate the files or directories
590 # directories like "/usr/src/myproject". Separate the files or directories
591 # with spaces.
591 # with spaces.
592
592
593 INPUT = ./
593 INPUT = ./libsrc
594
594
595 # This tag can be used to specify the character encoding of the source files
595 # This tag can be used to specify the character encoding of the source files
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
596 # that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is
@@ -643,7 +643,7 FILE_PATTERNS = *.c \
643 # should be searched for input files as well. Possible values are YES and NO.
643 # should be searched for input files as well. Possible values are YES and NO.
644 # If left blank NO is used.
644 # If left blank NO is used.
645
645
646 RECURSIVE = NO
646 RECURSIVE = YES
647
647
648 # The EXCLUDE tag can be used to specify files and/or directories that should
648 # The EXCLUDE tag can be used to specify files and/or directories that should
649 # excluded from the INPUT source files. This way you can easily exclude a
649 # excluded from the INPUT source files. This way you can easily exclude a
1 NO CONTENT: file was removed
NO CONTENT: file was removed
1 NO CONTENT: file was removed
NO CONTENT: file was removed
1 NO CONTENT: file was removed
NO CONTENT: file was removed
1 NO CONTENT: file was removed
NO CONTENT: file was removed
1 NO CONTENT: file was removed
NO CONTENT: file was removed
General Comments 0
You need to be logged in to leave comments. Login now