##// END OF EJS Templates
Preliminary working IAP Memctrlr integration....
pellion -
r482:792dac4c614c JC
parent child
Show More
@@ -1,19 +1,20
1 PACKAGE=\"\"
1 PACKAGE=\"\"
2 SPEED=Std
2 SPEED=Std
3 SYNFREQ=50
3 SYNFREQ=50
4
4
5 TECHNOLOGY=ProASIC3E
5 TECHNOLOGY=ProASIC3E
6 LIBERO_DIE=IT14X14M4
6 LIBERO_DIE=IT14X14M4
7 PART=A3PE3000
7 PART=A3PE3000
8
8
9 DESIGNER_VOLTAGE=COM
9 DESIGNER_VOLTAGE=COM
10 DESIGNER_TEMP=COM
10 DESIGNER_TEMP=COM
11 DESIGNER_PACKAGE=FBGA
11 DESIGNER_PACKAGE=FBGA
12 DESIGNER_PINS=324
12 DESIGNER_PINS=324
13
13
14 MANUFACTURER=Actel
14 MANUFACTURER=Actel
15 MGCTECHNOLOGY=Proasic3
15 MGCTECHNOLOGY=Proasic3
16 MGCPART=$(PART)
16 MGCPART=$(PART)
17 MGCPACKAGE= {$(DESIGNER_PINS) $(DESIGNER_PACKAGE)}
17 MGCPACKAGE= {$(DESIGNER_PINS) $(DESIGNER_PACKAGE)}
18 LIBERO_PACKAGE=fg$(DESIGNER_PINS)
18 LIBERO_PACKAGE=fg$(DESIGNER_PINS)
19
19
20
@@ -1,548 +1,453
1 # Actel Physical design constraints file
1 # Actel Physical design constraints file
2 # Generated file
2 # Generated file
3
3
4 # Version: 9.1 SP3 9.1.3.4
4 # Version: 9.1 SP3 9.1.3.4
5 # Family: ProASIC3E , Die: A3PE3000 , Package: 324 FBGA
5 # Family: ProASIC3E , Die: A3PE3000 , Package: 324 FBGA
6 # Date generated: Tue Dec 23 19:40:04 2014
6 # Date generated: Tue Dec 23 19:40:04 2014
7
7
8
8
9 #
9 #
10 # IO banks setting
10 # IO banks setting
11 #
11 #
12
12
13
13
14 #
14 #
15 # I/O constraints
15 # I/O constraints
16 #
16 #
17
17
18 #set_io BP0 \
19 # -pinname J12 \
20 # -fixed yes \
21 # -DIRECTION Inout
22
23
24 #set_io BP1 \
25 # -pinname F13 \
26 # -fixed yes \
27 # -DIRECTION Inout
28
29
30 set_io LED0 \
18 set_io LED0 \
31 -pinname R13 \
19 -pinname R13 \
32 -fixed yes \
20 -fixed yes \
33 -DIRECTION Inout
21 -DIRECTION Inout
34
22
35
23
36 set_io LED1 \
24 set_io LED1 \
37 -pinname P13 \
25 -pinname P13 \
38 -fixed yes \
26 -fixed yes \
39 -DIRECTION Inout
27 -DIRECTION Inout
40
28
41
29
42 set_io LED2 \
30 set_io LED2 \
43 -pinname N11 \
31 -pinname N11 \
44 -fixed yes \
32 -fixed yes \
45 -DIRECTION Inout
33 -DIRECTION Inout
46
34
47
35
48 set_io RXD1 \
36 set_io RXD1 \
49 -pinname N10 \
37 -pinname N10 \
50 -fixed yes \
38 -fixed yes \
51 -DIRECTION Inout
39 -DIRECTION Inout
52
40
53
41
54 set_io RXD2 \
42 set_io RXD2 \
55 -pinname F6 \
43 -pinname F6 \
56 -fixed yes \
44 -fixed yes \
57 -DIRECTION Inout
45 -DIRECTION Inout
58
46
59
47
60 set_io {SRAM_A[0]} \
48 set_io {SRAM_A[0]} \
61 -pinname T12 \
49 -pinname T12 \
62 -fixed yes \
50 -fixed yes \
63 -DIRECTION Inout \
51 -DIRECTION Inout \
64 -register yes
52 -register yes
65
53
66
54
67 set_io {SRAM_A[1]} \
55 set_io {SRAM_A[1]} \
68 -pinname U13 \
56 -pinname U13 \
69 -fixed yes \
57 -fixed yes \
70 -DIRECTION Inout \
58 -DIRECTION Inout \
71 -register yes
59 -register yes
72
60
73
61
74 set_io {SRAM_A[2]} \
62 set_io {SRAM_A[2]} \
75 -pinname T13 \
63 -pinname T13 \
76 -fixed yes \
64 -fixed yes \
77 -DIRECTION Inout \
65 -DIRECTION Inout \
78 -register yes
66 -register yes
79
67
80
68
81 set_io {SRAM_A[3]} \
69 set_io {SRAM_A[3]} \
82 -pinname N15 \
70 -pinname N15 \
83 -fixed yes \
71 -fixed yes \
84 -DIRECTION Inout \
72 -DIRECTION Inout \
85 -register yes
73 -register yes
86
74
87
75
88 set_io {SRAM_A[4]} \
76 set_io {SRAM_A[4]} \
89 -pinname P17 \
77 -pinname P17 \
90 -fixed yes \
78 -fixed yes \
91 -DIRECTION Inout \
79 -DIRECTION Inout \
92 -register yes
80 -register yes
93
81
94
82
95 set_io {SRAM_A[5]} \
83 set_io {SRAM_A[5]} \
96 -pinname N13 \
84 -pinname N13 \
97 -fixed yes \
85 -fixed yes \
98 -DIRECTION Inout \
86 -DIRECTION Inout \
99 -register yes
87 -register yes
100
88
101
89
102 set_io {SRAM_A[6]} \
90 set_io {SRAM_A[6]} \
103 -pinname M16 \
91 -pinname M16 \
104 -fixed yes \
92 -fixed yes \
105 -DIRECTION Inout \
93 -DIRECTION Inout \
106 -register yes
94 -register yes
107
95
108
96
109 set_io {SRAM_A[7]} \
97 set_io {SRAM_A[7]} \
110 -pinname M13 \
98 -pinname M13 \
111 -fixed yes \
99 -fixed yes \
112 -DIRECTION Inout \
100 -DIRECTION Inout \
113 -register yes
101 -register yes
114
102
115
103
116 set_io {SRAM_A[8]} \
104 set_io {SRAM_A[8]} \
117 -pinname U12 \
105 -pinname U12 \
118 -fixed yes \
106 -fixed yes \
119 -DIRECTION Inout \
107 -DIRECTION Inout \
120 -register yes
108 -register yes
121
109
122
110
123 set_io {SRAM_A[9]} \
111 set_io {SRAM_A[9]} \
124 -pinname V11 \
112 -pinname V11 \
125 -fixed yes \
113 -fixed yes \
126 -DIRECTION Inout \
114 -DIRECTION Inout \
127 -register yes
115 -register yes
128
116
129
117
130 set_io {SRAM_A[10]} \
118 set_io {SRAM_A[10]} \
131 -pinname V13 \
119 -pinname V13 \
132 -fixed yes \
120 -fixed yes \
133 -DIRECTION Inout \
121 -DIRECTION Inout \
134 -register yes
122 -register yes
135
123
136
124
137 set_io {SRAM_A[11]} \
125 set_io {SRAM_A[11]} \
138 -pinname V14 \
126 -pinname V14 \
139 -fixed yes \
127 -fixed yes \
140 -DIRECTION Inout \
128 -DIRECTION Inout \
141 -register yes
129 -register yes
142
130
143
131
144 set_io {SRAM_A[12]} \
132 set_io {SRAM_A[12]} \
145 -pinname V15 \
133 -pinname V15 \
146 -fixed yes \
134 -fixed yes \
147 -DIRECTION Inout \
135 -DIRECTION Inout \
148 -register yes
136 -register yes
149
137
150
138
151 set_io {SRAM_A[13]} \
139 set_io {SRAM_A[13]} \
152 -pinname P16 \
140 -pinname P16 \
153 -fixed yes \
141 -fixed yes \
154 -DIRECTION Inout \
142 -DIRECTION Inout \
155 -register yes
143 -register yes
156
144
157
145
158 set_io {SRAM_A[14]} \
146 set_io {SRAM_A[14]} \
159 -pinname N16 \
147 -pinname N16 \
160 -fixed yes \
148 -fixed yes \
161 -DIRECTION Inout \
149 -DIRECTION Inout \
162 -register yes
150 -register yes
163
151
164
152
165 set_io {SRAM_A[15]} \
153 set_io {SRAM_A[15]} \
166 -pinname V16 \
154 -pinname V16 \
167 -fixed yes \
155 -fixed yes \
168 -DIRECTION Inout \
156 -DIRECTION Inout \
169 -register yes
157 -register yes
170
158
171
159
172 set_io {SRAM_A[16]} \
160 set_io {SRAM_A[16]} \
173 -pinname V17 \
161 -pinname V17 \
174 -fixed yes \
162 -fixed yes \
175 -DIRECTION Inout \
163 -DIRECTION Inout \
176 -register yes
164 -register yes
177
165
178
166
179 set_io {SRAM_A[17]} \
167 set_io {SRAM_A[17]} \
180 -pinname U18 \
168 -pinname U18 \
181 -fixed yes \
169 -fixed yes \
182 -DIRECTION Inout \
170 -DIRECTION Inout \
183 -register yes
171 -register yes
184
172
185
173
186 set_io {SRAM_A[18]} \
174 set_io {SRAM_A[18]} \
187 -pinname R18 \
175 -pinname R18 \
188 -fixed yes \
176 -fixed yes \
189 -DIRECTION Inout \
177 -DIRECTION Inout \
190 -register yes
178 -register yes
191
179
192
180
193 set_io {SRAM_DQ[0]} \
181 set_io {SRAM_DQ[0]} \
194 -pinname T18 \
182 -pinname T18 \
195 -fixed yes \
183 -fixed yes \
196 -DIRECTION Inout
184 -DIRECTION Inout
197
185
198
186
199 set_io {SRAM_DQ[1]} \
187 set_io {SRAM_DQ[1]} \
200 -pinname L15 \
188 -pinname L15 \
201 -fixed yes \
189 -fixed yes \
202 -DIRECTION Inout
190 -DIRECTION Inout
203
191
204
192
205 set_io {SRAM_DQ[2]} \
193 set_io {SRAM_DQ[2]} \
206 -pinname K18 \
194 -pinname K18 \
207 -fixed yes \
195 -fixed yes \
208 -DIRECTION Inout
196 -DIRECTION Inout
209
197
210
198
211 set_io {SRAM_DQ[3]} \
199 set_io {SRAM_DQ[3]} \
212 -pinname G17 \
200 -pinname G17 \
213 -fixed yes \
201 -fixed yes \
214 -DIRECTION Inout
202 -DIRECTION Inout
215
203
216
204
217 set_io {SRAM_DQ[4]} \
205 set_io {SRAM_DQ[4]} \
218 -pinname K17 \
206 -pinname K17 \
219 -fixed yes \
207 -fixed yes \
220 -DIRECTION Inout
208 -DIRECTION Inout
221
209
222
210
223 set_io {SRAM_DQ[5]} \
211 set_io {SRAM_DQ[5]} \
224 -pinname H18 \
212 -pinname H18 \
225 -fixed yes \
213 -fixed yes \
226 -DIRECTION Inout
214 -DIRECTION Inout
227
215
228
216
229 set_io {SRAM_DQ[6]} \
217 set_io {SRAM_DQ[6]} \
230 -pinname L18 \
218 -pinname L18 \
231 -fixed yes \
219 -fixed yes \
232 -DIRECTION Inout
220 -DIRECTION Inout
233
221
234
222
235 set_io {SRAM_DQ[7]} \
223 set_io {SRAM_DQ[7]} \
236 -pinname J18 \
224 -pinname J18 \
237 -fixed yes \
225 -fixed yes \
238 -DIRECTION Inout
226 -DIRECTION Inout
239
227
240
228
241 set_io {SRAM_DQ[8]} \
229 set_io {SRAM_DQ[8]} \
242 -pinname M17 \
230 -pinname M17 \
243 -fixed yes \
231 -fixed yes \
244 -DIRECTION Inout
232 -DIRECTION Inout
245
233
246
234
247 set_io {SRAM_DQ[9]} \
235 set_io {SRAM_DQ[9]} \
248 -pinname J17 \
236 -pinname J17 \
249 -fixed yes \
237 -fixed yes \
250 -DIRECTION Inout
238 -DIRECTION Inout
251
239
252
240
253 set_io {SRAM_DQ[10]} \
241 set_io {SRAM_DQ[10]} \
254 -pinname N18 \
242 -pinname N18 \
255 -fixed yes \
243 -fixed yes \
256 -DIRECTION Inout
244 -DIRECTION Inout
257
245
258
246
259 set_io {SRAM_DQ[11]} \
247 set_io {SRAM_DQ[11]} \
260 -pinname J13 \
248 -pinname J13 \
261 -fixed yes \
249 -fixed yes \
262 -DIRECTION Inout
250 -DIRECTION Inout
263
251
264
252
265 set_io {SRAM_DQ[12]} \
253 set_io {SRAM_DQ[12]} \
266 -pinname N17 \
254 -pinname N17 \
267 -fixed yes \
255 -fixed yes \
268 -DIRECTION Inout
256 -DIRECTION Inout
269
257
270
258
271 set_io {SRAM_DQ[13]} \
259 set_io {SRAM_DQ[13]} \
272 -pinname K13 \
260 -pinname K13 \
273 -fixed yes \
261 -fixed yes \
274 -DIRECTION Inout
262 -DIRECTION Inout
275
263
276
264
277 set_io {SRAM_DQ[14]} \
265 set_io {SRAM_DQ[14]} \
278 -pinname P18 \
266 -pinname P18 \
279 -fixed yes \
267 -fixed yes \
280 -DIRECTION Inout
268 -DIRECTION Inout
281
269
282
270
283 set_io {SRAM_DQ[15]} \
271 set_io {SRAM_DQ[15]} \
284 -pinname K14 \
272 -pinname K14 \
285 -fixed yes \
273 -fixed yes \
286 -DIRECTION Inout
274 -DIRECTION Inout
287
275
288
276
289 set_io {SRAM_DQ[16]} \
277 set_io {SRAM_DQ[16]} \
290 -pinname K15 \
278 -pinname K15 \
291 -fixed yes \
279 -fixed yes \
292 -DIRECTION Inout
280 -DIRECTION Inout
293
281
294
282
295 set_io {SRAM_DQ[17]} \
283 set_io {SRAM_DQ[17]} \
296 -pinname B18 \
284 -pinname B18 \
297 -fixed yes \
285 -fixed yes \
298 -DIRECTION Inout
286 -DIRECTION Inout
299
287
300
288
301 set_io {SRAM_DQ[18]} \
289 set_io {SRAM_DQ[18]} \
302 -pinname D16 \
290 -pinname D16 \
303 -fixed yes \
291 -fixed yes \
304 -DIRECTION Inout
292 -DIRECTION Inout
305
293
306
294
307 set_io {SRAM_DQ[19]} \
295 set_io {SRAM_DQ[19]} \
308 -pinname D15 \
296 -pinname D15 \
309 -fixed yes \
297 -fixed yes \
310 -DIRECTION Inout
298 -DIRECTION Inout
311
299
312
300
313 set_io {SRAM_DQ[20]} \
301 set_io {SRAM_DQ[20]} \
314 -pinname C18 \
302 -pinname C18 \
315 -fixed yes \
303 -fixed yes \
316 -DIRECTION Inout
304 -DIRECTION Inout
317
305
318
306
319 set_io {SRAM_DQ[21]} \
307 set_io {SRAM_DQ[21]} \
320 -pinname E15 \
308 -pinname E15 \
321 -fixed yes \
309 -fixed yes \
322 -DIRECTION Inout
310 -DIRECTION Inout
323
311
324
312
325 set_io {SRAM_DQ[22]} \
313 set_io {SRAM_DQ[22]} \
326 -pinname D18 \
314 -pinname D18 \
327 -fixed yes \
315 -fixed yes \
328 -DIRECTION Inout
316 -DIRECTION Inout
329
317
330
318
331 set_io {SRAM_DQ[23]} \
319 set_io {SRAM_DQ[23]} \
332 -pinname F15 \
320 -pinname F15 \
333 -fixed yes \
321 -fixed yes \
334 -DIRECTION Inout
322 -DIRECTION Inout
335
323
336
324
337 set_io {SRAM_DQ[24]} \
325 set_io {SRAM_DQ[24]} \
338 -pinname E18 \
326 -pinname E18 \
339 -fixed yes \
327 -fixed yes \
340 -DIRECTION Inout
328 -DIRECTION Inout
341
329
342
330
343 set_io {SRAM_DQ[25]} \
331 set_io {SRAM_DQ[25]} \
344 -pinname G15 \
332 -pinname G15 \
345 -fixed yes \
333 -fixed yes \
346 -DIRECTION Inout
334 -DIRECTION Inout
347
335
348
336
349 set_io {SRAM_DQ[26]} \
337 set_io {SRAM_DQ[26]} \
350 -pinname F17 \
338 -pinname F17 \
351 -fixed yes \
339 -fixed yes \
352 -DIRECTION Inout
340 -DIRECTION Inout
353
341
354
342
355 set_io {SRAM_DQ[27]} \
343 set_io {SRAM_DQ[27]} \
356 -pinname H15 \
344 -pinname H15 \
357 -fixed yes \
345 -fixed yes \
358 -DIRECTION Inout
346 -DIRECTION Inout
359
347
360
348
361 set_io {SRAM_DQ[28]} \
349 set_io {SRAM_DQ[28]} \
362 -pinname F18 \
350 -pinname F18 \
363 -fixed yes \
351 -fixed yes \
364 -DIRECTION Inout
352 -DIRECTION Inout
365
353
366
354
367 set_io {SRAM_DQ[29]} \
355 set_io {SRAM_DQ[29]} \
368 -pinname J15 \
356 -pinname J15 \
369 -fixed yes \
357 -fixed yes \
370 -DIRECTION Inout
358 -DIRECTION Inout
371
359
372
360
373 set_io {SRAM_DQ[30]} \
361 set_io {SRAM_DQ[30]} \
374 -pinname D11 \
362 -pinname D11 \
375 -fixed yes \
363 -fixed yes \
376 -DIRECTION Inout
364 -DIRECTION Inout
377
365
378
366
379 set_io {SRAM_DQ[31]} \
367 set_io {SRAM_DQ[31]} \
380 -pinname C16 \
368 -pinname C16 \
381 -fixed yes \
369 -fixed yes \
382 -DIRECTION Inout
370 -DIRECTION Inout
383
371
384
372
385 set_io SRAM_MBE \
373 set_io SRAM_MBE \
386 -pinname D13 \
374 -pinname D13 \
387 -fixed yes \
375 -fixed yes \
388 -DIRECTION Inout
376 -DIRECTION Inout
389
377
390
378
391 set_io SRAM_nBUSY \
379 set_io SRAM_nBUSY \
392 -pinname D12 \
380 -pinname D12 \
393 -fixed yes \
381 -fixed yes \
394 -DIRECTION Inout
382 -DIRECTION Inout
395
383
396
384
397 set_io SRAM_nCE1 \
385 set_io SRAM_nCE1 \
398 -pinname C17 \
386 -pinname C17 \
399 -fixed yes \
387 -fixed yes \
400 -DIRECTION Inout \
388 -DIRECTION Inout \
401 -register yes
389 -register yes
402
390
403
391
404 set_io SRAM_nCE2 \
392 set_io SRAM_nCE2 \
405 -pinname B17 \
393 -pinname B17 \
406 -fixed yes \
394 -fixed yes \
407 -DIRECTION Inout \
395 -DIRECTION Inout \
408 -register yes
396 -register yes
409
397
410
398
411 set_io SRAM_nOE \
399 set_io SRAM_nOE \
412 -pinname J14 \
400 -pinname J14 \
413 -fixed yes \
401 -fixed yes \
414 -DIRECTION Inout \
402 -DIRECTION Inout \
415 -register yes
403 -register yes
416
404
417
405
418 set_io SRAM_nWE \
406 set_io SRAM_nWE \
419 -pinname B16 \
407 -pinname B16 \
420 -fixed yes \
408 -fixed yes \
421 -DIRECTION Inout \
409 -DIRECTION Inout \
422 -register yes
410 -register yes
423
411
424
412
425 set_io TXD1 \
413 set_io TXD1 \
426 -pinname N12 \
414 -pinname N12 \
427 -fixed yes \
415 -fixed yes \
428 -DIRECTION Inout
416 -DIRECTION Inout
429
417
430
418
431 set_io TXD2 \
419 set_io TXD2 \
432 -pinname G6 \
420 -pinname G6 \
433 -fixed yes \
421 -fixed yes \
434 -DIRECTION Inout
422 -DIRECTION Inout
435
423
436
424
437 #set_io clk_49 \
425 #set_io clk_49 \
438 # -pinname F8 \
426 # -pinname F8 \
439 # -fixed yes \
427 # -fixed yes \
440 # -DIRECTION Inout
428 # -DIRECTION Inout
441
429
442
430
443 set_io clk_50 \
431 set_io clk_50 \
444 -pinname F7 \
432 -pinname F7 \
445 -fixed yes \
433 -fixed yes \
446 -DIRECTION Inout
434 -DIRECTION Inout
447
435
448
436
449 set_io nCTS1 \
437 set_io nCTS1 \
450 -pinname L13 \
438 -pinname L13 \
451 -fixed yes \
439 -fixed yes \
452 -DIRECTION Inout
440 -DIRECTION Inout
453
441
454
442
455 #set_io nRTS1 \
443 #set_io nRTS1 \
456 # -pinname M9 \
444 # -pinname M9 \
457 # -fixed yes \
445 # -fixed yes \
458 # -DIRECTION Inout
446 # -DIRECTION Inout
459
447
460
448
461 set_io reset \
449 set_io reset \
462 -pinname F16 \
450 -pinname F16 \
463 -fixed yes \
451 -fixed yes \
464 -DIRECTION Inout
452 -DIRECTION Inout
465
453
466
467
468 #
469 # Non IO constraints
470 #
471
472
473 #
474 # Old IO constraints, commented out for reference
475 #
476
477 # set_io BP0 -pinname J12 -fixed yes -DIRECTION Inout
478 # set_io BP1 -pinname F13 -fixed yes -DIRECTION Inout
479 # set_io LED0 -pinname R13 -fixed yes -DIRECTION Inout
480 # set_io LED1 -pinname P13 -fixed yes -DIRECTION Inout
481 # set_io LED2 -pinname N11 -fixed yes -DIRECTION Inout
482 # set_io RXD1 -pinname N10 -fixed yes -DIRECTION Inout
483 # set_io RXD2 -pinname F6 -fixed yes -DIRECTION Inout
484 # set_io {SRAM_A[0]} -pinname T12 -fixed yes -DIRECTION Inout
485 # set_io {SRAM_A[1]} -pinname U13 -fixed yes -DIRECTION Inout
486 # set_io {SRAM_A[2]} -pinname T13 -fixed yes -DIRECTION Inout
487 # set_io {SRAM_A[3]} -pinname N15 -fixed yes -DIRECTION Inout
488 # set_io {SRAM_A[4]} -pinname P17 -fixed yes -DIRECTION Inout
489 # set_io {SRAM_A[5]} -pinname N13 -fixed yes -DIRECTION Inout
490 # set_io {SRAM_A[6]} -pinname M16 -fixed yes -DIRECTION Inout
491 # set_io {SRAM_A[7]} -pinname M13 -fixed yes -DIRECTION Inout
492 # set_io {SRAM_A[8]} -pinname U12 -fixed yes -DIRECTION Inout
493 # set_io {SRAM_A[9]} -pinname V11 -fixed yes -DIRECTION Inout
494 # set_io {SRAM_A[10]} -pinname V13 -fixed yes -DIRECTION Inout
495 # set_io {SRAM_A[11]} -pinname V14 -fixed yes -DIRECTION Inout
496 # set_io {SRAM_A[12]} -pinname V15 -fixed yes -DIRECTION Inout
497 # set_io {SRAM_A[13]} -pinname P16 -fixed yes -DIRECTION Inout
498 # set_io {SRAM_A[14]} -pinname N16 -fixed yes -DIRECTION Inout
499 # set_io {SRAM_A[15]} -pinname V16 -fixed yes -DIRECTION Inout
500 # set_io {SRAM_A[16]} -pinname V17 -fixed yes -DIRECTION Inout
501 # set_io {SRAM_A[17]} -pinname U18 -fixed yes -DIRECTION Inout
502 # set_io {SRAM_A[18]} -pinname R18 -fixed yes -DIRECTION Inout
503 # set_io {SRAM_DQ[0]} -pinname T18 -fixed yes -DIRECTION Inout
504 # set_io {SRAM_DQ[1]} -pinname L15 -fixed yes -DIRECTION Inout
505 # set_io {SRAM_DQ[2]} -pinname K18 -fixed yes -DIRECTION Inout
506 # set_io {SRAM_DQ[3]} -pinname G17 -fixed yes -DIRECTION Inout
507 # set_io {SRAM_DQ[4]} -pinname K17 -fixed yes -DIRECTION Inout
508 # set_io {SRAM_DQ[5]} -pinname H18 -fixed yes -DIRECTION Inout
509 # set_io {SRAM_DQ[6]} -pinname L18 -fixed yes -DIRECTION Inout
510 # set_io {SRAM_DQ[7]} -pinname J18 -fixed yes -DIRECTION Inout
511 # set_io {SRAM_DQ[8]} -pinname M17 -fixed yes -DIRECTION Inout
512 # set_io {SRAM_DQ[9]} -pinname J17 -fixed yes -DIRECTION Inout
513 # set_io {SRAM_DQ[10]} -pinname N18 -fixed yes -DIRECTION Inout
514 # set_io {SRAM_DQ[11]} -pinname J13 -fixed yes -DIRECTION Inout
515 # set_io {SRAM_DQ[12]} -pinname N17 -fixed yes -DIRECTION Inout
516 # set_io {SRAM_DQ[13]} -pinname K13 -fixed yes -DIRECTION Inout
517 # set_io {SRAM_DQ[14]} -pinname P18 -fixed yes -DIRECTION Inout
518 # set_io {SRAM_DQ[15]} -pinname K14 -fixed yes -DIRECTION Inout
519 # set_io {SRAM_DQ[16]} -pinname K15 -fixed yes -DIRECTION Inout
520 # set_io {SRAM_DQ[17]} -pinname B18 -fixed yes -DIRECTION Inout
521 # set_io {SRAM_DQ[18]} -pinname D16 -fixed yes -DIRECTION Inout
522 # set_io {SRAM_DQ[19]} -pinname D15 -fixed yes -DIRECTION Inout
523 # set_io {SRAM_DQ[20]} -pinname C18 -fixed yes -DIRECTION Inout
524 # set_io {SRAM_DQ[21]} -pinname E15 -fixed yes -DIRECTION Inout
525 # set_io {SRAM_DQ[22]} -pinname D18 -fixed yes -DIRECTION Inout
526 # set_io {SRAM_DQ[23]} -pinname F15 -fixed yes -DIRECTION Inout
527 # set_io {SRAM_DQ[24]} -pinname E18 -fixed yes -DIRECTION Inout
528 # set_io {SRAM_DQ[25]} -pinname G15 -fixed yes -DIRECTION Inout
529 # set_io {SRAM_DQ[26]} -pinname F17 -fixed yes -DIRECTION Inout
530 # set_io {SRAM_DQ[27]} -pinname H15 -fixed yes -DIRECTION Inout
531 # set_io {SRAM_DQ[28]} -pinname F18 -fixed yes -DIRECTION Inout
532 # set_io {SRAM_DQ[29]} -pinname J15 -fixed yes -DIRECTION Inout
533 # set_io {SRAM_DQ[30]} -pinname D11 -fixed yes -DIRECTION Inout
534 # set_io {SRAM_DQ[31]} -pinname C16 -fixed yes -DIRECTION Inout
535 # set_io SRAM_MBE -pinname D13 -fixed yes -DIRECTION Inout
536 # set_io SRAM_nBUSY -pinname D12 -fixed yes -DIRECTION Inout
537 # set_io SRAM_nCE1 -pinname C17 -fixed yes -DIRECTION Inout
538 # set_io SRAM_nCE2 -pinname B17 -fixed yes -DIRECTION Inout
539 # set_io SRAM_nOE -pinname J14 -fixed yes -DIRECTION Inout
540 # set_io SRAM_nSCRUB -pinname E13 -fixed yes -DIRECTION Inout
541 # set_io SRAM_nWE -pinname B16 -fixed yes -DIRECTION Inout
542 # set_io TXD1 -pinname N12 -fixed yes -DIRECTION Inout
543 # set_io TXD2 -pinname G6 -fixed yes -DIRECTION Inout
544 # set_io clk_49 -pinname F8 -fixed yes -DIRECTION Inout
545 # set_io clk_50 -pinname F7 -fixed yes -DIRECTION Inout
546 # set_io nCTS1 -pinname L13 -fixed yes -DIRECTION Inout
547 # set_io nRTS1 -pinname M9 -fixed yes -DIRECTION Inout
548 # set_io reset -pinname F16 -fixed yes -DIRECTION Inout
General Comments 0
You need to be logged in to leave comments. Login now