##// END OF EJS Templates
debug lpp_uart and comment lpp_cna
martin -
r41:60b1a4f97aff default
parent child
Show More
@@ -16,7 +16,7
16 -- along with this program; if not, write to the Free Software
16 -- along with this program; if not, write to the Free Software
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
17 -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
18 ------------------------------------------------------------------------------
18 ------------------------------------------------------------------------------
19 -- Author : Martin Morlot
19 -- Author : Martin Morlot
20 -- Mail : martin.morlot@lpp.polytechnique.fr
20 -- Mail : martin.morlot@lpp.polytechnique.fr
21 ------------------------------------------------------------------------------
21 ------------------------------------------------------------------------------
22 library ieee;
22 library ieee;
@@ -79,8 +79,6 signal temp_ND : std_logic;
79 begin
79 begin
80
80
81 Capture <= Rec.UART_Cfg(0);
81 Capture <= Rec.UART_Cfg(0);
82 --ACK <= Rec.UART_Cfg(1);
83 --Send <= Rec.UART_Cfg(1);
84 Rec.UART_Cfg(1) <= Sended;
82 Rec.UART_Cfg(1) <= Sended;
85 Rec.UART_Cfg(2) <= NwData;
83 Rec.UART_Cfg(2) <= NwData;
86
84
@@ -109,7 +107,6 Rec.UART_Cfg(2) <= NwData;
109 case apbi.paddr(7 downto 2) is
107 case apbi.paddr(7 downto 2) is
110 when "000000" =>
108 when "000000" =>
111 Rec.UART_Cfg(0) <= apbi.pwdata(0);
109 Rec.UART_Cfg(0) <= apbi.pwdata(0);
112 --Rec.UART_Cfg(1) <= apbi.pwdata(4);
113 when "000001" =>
110 when "000001" =>
114 Rec.UART_Wdata(7 downto 0) <= apbi.pwdata(7 downto 0);
111 Rec.UART_Wdata(7 downto 0) <= apbi.pwdata(7 downto 0);
115 Send <= '1';
112 Send <= '1';
@@ -135,12 +132,9 Rec.UART_Cfg(2) <= NwData;
135 when "000010" =>
132 when "000010" =>
136 Rdata(31 downto 8) <= X"EEEEEE";
133 Rdata(31 downto 8) <= X"EEEEEE";
137 Rdata(7 downto 0) <= Rec.UART_Rdata;
134 Rdata(7 downto 0) <= Rec.UART_Rdata;
138 --Ack <= '1';
139 when others =>
135 when others =>
140 Rdata <= (others => '0');
136 Rdata <= (others => '0');
141 end case;
137 end case;
142 --else
143 --Ack <= '0';
144 end if;
138 end if;
145
139
146 end if;
140 end if;
General Comments 0
You need to be logged in to leave comments. Login now