##// END OF EJS Templates
Few fixes....
Few fixes. Whole LFR simulation WIP.

File last commit:

r236:e34a2fdaf0b1 martin
r682:c53e1b6b3045 default
Show More
Dispatch.vhd
88 lines | 2.8 KiB | text/x-vhdl | VhdlLexer
martin
Débug de la FIFO...
r103 ------------------------------------------------------------------------------
-- This file is a part of the LPP VHDL IP LIBRARY
-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Author : Martin Morlot
-- Mail : martin.morlot@lpp.polytechnique.fr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
entity Dispatch is
generic(
Data_SZ : integer := 32);
port(
clk : in std_logic;
reset : in std_logic;
martin
update CAL 1/2
r236 Ack : in std_logic;
martin
Débug de la FIFO...
r103 Data : in std_logic_vector(Data_SZ-1 downto 0);
Write : in std_logic;
martin
UP
r175 Valid : in std_logic;
martin
Débug de la FIFO...
r103 FifoData : out std_logic_vector(2*Data_SZ-1 downto 0);
FifoWrite : out std_logic_vector(1 downto 0);
Error : out std_logic
);
end entity;
architecture ar_Dispatch of Dispatch is
martin
Chaine de traitement sur 5 voies (FFT + MATRIX) OKAI
r109 type etat is (eX,e0,e1,e2);
martin
Débug de la FIFO...
r103 signal ect : etat;
martin
update CAL 1/2
r236 signal Pong : std_logic;
martin
Chaine de traitement sur 5 voies (FFT + MATRIX) OKAI
r109
martin
Débug de la FIFO...
r103 begin
process (clk,reset)
begin
if(reset='0')then
martin
update CAL 1/2
r236 Pong <= '0';
martin
Chaine de traitement sur 5 voies (FFT + MATRIX) OKAI
r109 Error <= '0';
ect <= e0;
martin
Débug de la FIFO...
r103
elsif(clk' event and clk='1')then
case ect is
when e0 =>
martin
UP
r175 if(Valid = '1')then
martin
update CAL 1/2
r236 Pong <= not Pong;
martin
Débug de la FIFO...
r103 ect <= e1;
end if;
when e1 =>
martin
update CAL 1/2
r236 if(Ack = '0')then
martin
Débug de la FIFO...
r103 Error <= '1';
ect <= e1;
martin
Chaine de traitement sur 5 voies (FFT + MATRIX) OKAI
r109 else
martin
Débug de la FIFO...
r103 Error <= '0';
ect <= e0;
martin
Chaine de traitement sur 5 voies (FFT + MATRIX) OKAI
r109 end if;
when others =>
martin
update CAL 1/2
r236 null;
martin
Débug de la FIFO...
r103
end case;
end if;
end process;
FifoData <= Data & Data;
martin
update CAL 1/2
r236 FifoWrite <= '1' & not Write when Pong='0' else not Write & '1';
martin
Débug de la FIFO...
r103
end architecture;