##// END OF EJS Templates
Fixed bug, now minor and major frame pulses have the good width....
Fixed bug, now minor and major frame pulses have the good width. (one sck period and not one word clock period)

File last commit:

r168:0b190be76d60 alexis
r222:b37e19fe4c0b alexis
Show More
config.vhd.in
18 lines | 391 B | text/plain | TextLexer
ICI rockets designs added
r168 #include "config.h"
#include "tkconfig.h"
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
#include "config.vhd.h"
end;