##// END OF EJS Templates
Correction de la FSM qui regule les données entrant dans la FFT
Correction de la FSM qui regule les données entrant dans la FFT

File last commit:

r499:ac8423f90316 JC
r557:7faec0eb9fbb (MINI-LFR) WFP_MS-0-1-67 (LFR-EM) WFP_MS_1-1-67 JC
Show More
vhdlsyn.txt
8 lines | 172 B | text/plain | TextLexer
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 lpp_ad_Conv.vhd
Restored previous ALU version as ALU_V0 for IIR filter first version...
r226 RHF1401.vhd
top_ad_conv_RHF1401.vhd
pellion
New RHF1401 ADC driver (with simple filter)
r400 top_ad_conv_RHF1401_withFilter.vhd
pellion
Synthesis File Updated
r229 TestModule_RHF1401.vhd
pellion
Add Driver ADS7886 + MINI_LFR_WFRM-GPIO (release 206 porté sur MINI-LFR)
r287 top_ad_conv_ADS7886_v2.vhd
ADS7886_drvr_v2.vhd
pellion
- Update files to simulate with questasim...
r499 lpp_lfr_hk.vhd