##// END OF EJS Templates
Correction de la FSM qui regule les données entrant dans la FFT
Correction de la FSM qui regule les données entrant dans la FFT

File last commit:

r85:439b6d5bebcc alexis
r557:7faec0eb9fbb (MINI-LFR) WFP_MS-0-1-67 (LFR-EM) WFP_MS_1-1-67 JC
Show More
load.txt
1 line | 19 B | text/plain | TextLexer
martin
FIFO updated (vhdl,C driver) and Matrix tested, Bench validated
r93 load bin/hello.bin