##// END OF EJS Templates
temp
temp

File last commit:

r99:fb73d940a921 martin
r319:3a0e3365fddf JC
Show More
Makefile
48 lines | 1.4 KiB | text/x-makefile | MakefileLexer
yannic
Fixed Makefile (C drivers) for mingw users
r34 #------------------------------------------------------------------------------
#-- This file is a part of the LPP VHDL IP LIBRARY
#-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS
#--
#-- This program is free software; you can redistribute it and/or modify
#-- it under the terms of the GNU General Public License as published by
#-- the Free Software Foundation; either version 3 of the License, or
#-- (at your option) any later version.
#--
#-- This program is distributed in the hope that it will be useful,
#-- but WITHOUT ANY WARRANTY; without even the implied warranty of
#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
#-- GNU General Public License for more details.
#--
#-- You should have received a copy of the GNU General Public License
#-- along with this program; if not, write to the Free Software
#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
#------------------------------------------------------------------------------
include ../rules.mk
all:
make all -C AMBA
make all -C LCD
make all -C DAC
martin
APB_FIFO updated and APBs added to lpp_FIFO
r52 make all -C FIFO
martin
Modification UART (VHDL)...
r59 make all -C UART
martin
IP FFT modified/cleaned, FFT C Driver added
r65 make all -C FFT
martin
Debug projet leon + Projet blanc updated and fixed + Driver C added (gpio,delay)
r80 make all -C DELAY
make all -C GPIO
martin
Update and debug UART
r99 make all -C ADC
martin
Matrix C-driver added
r86 make all -C MATRIX
yannic
Fixed Makefile (C drivers) for mingw users
r34
cleanall:
make clean -C AMBA
make clean -C LCD
make clean -C DAC
martin
APB_FIFO updated and APBs added to lpp_FIFO
r52 make clean -C FIFO
martin
Modification UART (VHDL)...
r59 make clean -C UART
martin
IP FFT modified/cleaned, FFT C Driver added
r65 make clean -C FFT
martin
Debug projet leon + Projet blanc updated and fixed + Driver C added (gpio,delay)
r80 make clean -C DELAY
make clean -C GPIO
martin
Update and debug UART
r99 make clean -C ADC
martin
Matrix C-driver added
r86 make clean -C MATRIX
yannic
Fixed Makefile (C drivers) for mingw users
r34