##// END OF EJS Templates
Remove arbitration in front of FFT based on Pong Status...
Remove arbitration in front of FFT based on Pong Status Add arbitration in front of FFT based on falling edge of fft_ready and sample_load

File last commit:

r85:439b6d5bebcc alexis
r384:328a814d7018 (MINI-LFR) WFP_MS-0-1-20 JC
Show More
load.txt
1 line | 19 B | text/plain | TextLexer
martin
FIFO updated (vhdl,C driver) and Matrix tested, Bench validated
r93 load bin/hello.bin