##// END OF EJS Templates
removed dependency to Xonsh for Validation LFR Filters
removed dependency to Xonsh for Validation LFR Filters

File last commit:

r470:8e762460b97c JC
r648:0343834a1e0e default
Show More
run.do
10 lines | 154 B | text/x-stata | StataLexer
pellion
Simu MINI-LFR_WFP_MS ...
r459 vcom -quiet -93 -work work MINI_LFR_top.vhd
vcom -quiet -93 -work work testbench.vhd
vsim work.testbench
log -r *
do wave.do
pellion
modif ms_reg_head : add error signal
r470 run 65 ms