##// END OF EJS Templates
Update TAG connexion (cross UART link)
Update TAG connexion (cross UART link)

File last commit:

r14:46dea010b1a4 default
r343:db9610d754c6 (LFR-EM) WFP_MS_0-1-9b JC
Show More
config.vhd.in
17 lines | 390 B | text/plain | TextLexer
#include "config.h"
#include "tkconfig.h"
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
#include "config.vhd.h"
end;