##// END OF EJS Templates
Activated F2 and F3 IIR Filters for LFR_FILTERS tests....
Activated F2 and F3 IIR Filters for LFR_FILTERS tests. Improved Makefiles for LFR_FILTERS tests.

File last commit:

r653:c45d52d9ef54 default
r654:d239e3167642 default
Show More
DISCOSPACE_top_libero.prj
4865 lines | 215.2 KiB | text/plain | TextLexer
/ designs / TIMEGEN / DISCOSPACE_top_libero.prj
KEY LIBERO "9.1"
KEY CAPTURE "9.1.5.1"
KEY DEFAULT_IMPORT_LOC "C:\opt\VHDLIB\tests\Validation_LFR_Filters"
KEY DEFAULT_OPEN_LOC ""
KEY ProjectID "2f64e589-285c-45b2-b6c4-709f59f83db9"
KEY HDLTechnology "VHDL"
KEY VendorTechnology_Family "ProASIC3E"
KEY VendorTechnology_Die "IT14X14M4"
KEY VendorTechnology_Package "fg324"
KEY ProjectLocation "C:\opt\VHDLIB\designs\TIMEGEN"
KEY SimulationType "VHDL"
KEY Vendor "Actel"
KEY ActiveRoot "DISCOSPACE_top::work"
LIST REVISIONS
VALUE="Impl1",NUM=1
VALUE="Impl2",NUM=2
CURREV=2
ENDLIST
LIST LIBRARIES
grlib
synplify
techmap
spw
eth
opencores
gaisler
esa
fmf
spansion
gsi
iap
lpp
cypress
ENDLIST
LIST LIBRARY_grlib
ALIAS=grlib
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_synplify
ALIAS=synplify
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_techmap
ALIAS=techmap
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_spw
ALIAS=spw
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_eth
ALIAS=eth
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_opencores
ALIAS=opencores
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_gaisler
ALIAS=gaisler
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_esa
ALIAS=esa
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_fmf
ALIAS=fmf
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_spansion
ALIAS=spansion
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_gsi
ALIAS=gsi
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_iap
ALIAS=iap
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_lpp
ALIAS=lpp
COMPILE_OPTION=COMPILE
ENDLIST
LIST LIBRARY_cypress
ALIAS=cypress
COMPILE_OPTION=COMPILE
ENDLIST
LIST FileManager
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3091"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1890"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4795"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3112"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3141"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2735"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="15484"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2919"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3324"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="10820"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="10988"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="15918"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6861"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="7426"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="9785"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl"
STATE="utd"
TIME="1478082550"
SIZE="11300"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl"
STATE="utd"
TIME="1478196483"
SIZE="7913"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl"
STATE="utd"
TIME="1478082253"
SIZE="17692"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6368"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="11622"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2383"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="3777"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl"
STATE="utd"
TIME="1478196483"
SIZE="5046"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2773"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="141871"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4034"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="12457"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3995"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3947"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="25884"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="32249"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5049"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3730"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9069"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3997"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="12080"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3794"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4946"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3069"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2981"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2284"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1930"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2952"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1958"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="685"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2306"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2319"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1537"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl"
STATE="utd"
TIME="1479489159"
SIZE="13529"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2014"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1985"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9428"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2314"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1941"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1667"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1731"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2185"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1692"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3295"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2482"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1812"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3487"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2198"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2089"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2273"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl"
STATE="utd"
TIME="1479495398"
SIZE="3634"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2848"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="17466"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4205"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2933"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2238"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5325"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4786"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="1264"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4316"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="12321"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4539"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4454"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2479"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4091"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2677"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="7740"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl"
STATE="utd"
TIME="1479920608"
SIZE="5053"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl"
STATE="utd"
TIME="1479911446"
SIZE="2143"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl"
STATE="utd"
TIME="1479913560"
SIZE="2634"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6288"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3856"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4819"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9925"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5162"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2965"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4811"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6901"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2457"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4636"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2302"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="8553"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2966"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2465"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4291"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5071"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="8480"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="14356"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="12064"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9280"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6032"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4061"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6996"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3724"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4664"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2306"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl"
STATE="utd"
TIME="1479827535"
SIZE="26034"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl"
STATE="utd"
TIME="1479827376"
SIZE="6066"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="8186"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2863"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3738"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3703"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="8911"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3302"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2979"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3145"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2907"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="7397"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3225"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4323"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6735"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5354"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6258"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6154"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="11043"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="23856"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="5730"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="1605"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="1200"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="8177"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6072"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2638"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2925"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="782"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl"
STATE="utd"
TIME="1478084024"
SIZE="21340"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="42632"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3522"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5903"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl"
STATE="utd"
TIME="1478688463"
SIZE="25901"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4867"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="49854"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3237"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="7349"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3003"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl"
STATE="utd"
TIME="1478084031"
SIZE="18462"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9063"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="20050"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="988"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3170"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4440"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9316"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="3230"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="6124"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="7653"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="4033"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5609"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="5006"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="10418"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="17107"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="2491"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl"
STATE="utd"
TIME="1472547172"
SIZE="9119"
LIBRARY="lpp"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl"
STATE="utd"
TIME="1472547186"
SIZE="1327"
LIBRARY="iap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl"
STATE="utd"
TIME="1472547186"
SIZE="615"
LIBRARY="iap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl"
STATE="utd"
TIME="1472547186"
SIZE="3594"
LIBRARY="iap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl"
STATE="utd"
TIME="1472547186"
SIZE="29411"
LIBRARY="iap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl"
STATE="utd"
TIME="1472547186"
SIZE="26803"
LIBRARY="iap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl"
STATE="utd"
TIME="1465836263"
SIZE="6333"
LIBRARY="cypress"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl"
STATE="utd"
TIME="1465836263"
SIZE="16818"
LIBRARY="cypress"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl"
STATE="utd"
TIME="1465836263"
SIZE="27113"
LIBRARY="cypress"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl"
STATE="utd"
TIME="1465836263"
SIZE="2115"
LIBRARY="cypress"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="37496"
LIBRARY="esa"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="2151"
LIBRARY="esa"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="19987"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="5579"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="5777"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="4225"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="1405"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="85494"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="138716"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="17322"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="12935"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="14338"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="23913"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="11414"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="17410"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="13418"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="13621"
LIBRARY="eth"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="32667"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="5422"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="52702"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="53367"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="40830"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl"
STATE="utd"
TIME="1465836264"
SIZE="6125"
LIBRARY="fmf"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="14039"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="37327"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="4322"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="6723"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="14935"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="6491"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="930"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="5932"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="7365"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="5263"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="22146"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="6307"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="82838"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="2110"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="5053"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl"
STATE="utd"
TIME="1465836265"
SIZE="5455"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="4509"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="15710"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="3233"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="4215"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="4246"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="4671"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="13232"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="6029"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="13018"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="13513"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="13648"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="27223"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="106245"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="105334"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="216796"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl"
STATE="utd"
TIME="1465836266"
SIZE="215376"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="25247"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="4714"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="10957"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="4678"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="5844"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="2839"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="4606"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="2259"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="2593"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="6832"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="7460"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="9005"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="30211"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="2335"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="2598"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="681304"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="677660"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="10473"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl"
STATE="utd"
TIME="1465836267"
SIZE="36926"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="59984"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="27397"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="39968"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="21278"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="5246"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="31725"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="35358"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="20458"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="29852"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="29845"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="25626"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="15978"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="18077"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="144276"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="5511"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="4822"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="11940"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="8846"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="3865"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="1813"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="2188"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="19357"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="4570"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="13338"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="11680"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="121182"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="1147"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="14451"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="17226"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="17880"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl"
STATE="utd"
TIME="1465836268"
SIZE="13844"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="38705"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="9094"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="9997"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="90518"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="11337"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="3181"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="4223"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="15198"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="16727"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="2528"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="4295"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="16786"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="35455"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="46512"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="3108"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="27694"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="10472"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="144797"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="2906"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl"
STATE="utd"
TIME="1465836269"
SIZE="15433"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="4220"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="22444"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="30992"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="20300"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="1995"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="24680"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="31994"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="18393"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="30700"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl"
STATE="utd"
TIME="1465836270"
SIZE="5396"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="3368"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="1309"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="4974"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="1836"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="5275"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="10727"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="15236"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="18294"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="10323"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="5060"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="6480"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="5154"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="30720"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="10955"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="20811"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="22308"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="7793"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="5042"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="2785"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="21585"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="9145"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="10027"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="2137"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="20732"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="5191"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="11383"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="4887"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl"
STATE="utd"
TIME="1465836271"
SIZE="2166"
LIBRARY="gaisler"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="42215"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="28693"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="5313"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl"
STATE="utd"
TIME="1478196656"
SIZE="49258"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="2696"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="73534"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="11478"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="6919"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="1377"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="45046"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="25048"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="5530"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="68490"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="4984"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="32765"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="21107"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="508332"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="54977"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl"
STATE="utd"
TIME="1465836272"
SIZE="57885"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="22816"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="20072"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="190884"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="189562"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="707143"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="1677"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="3842"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="9925"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="27816"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="2337"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="1864"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="8705"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="19877"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="32050"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="280"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="1823"
LIBRARY="grlib"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="21018"
LIBRARY="gsi"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="101033"
LIBRARY="gsi"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl"
STATE="utd"
TIME="1465836273"
SIZE="7166"
LIBRARY="gsi"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl"
STATE="utd"
TIME="1465836274"
SIZE="3314"
LIBRARY="opencores"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl"
STATE="utd"
TIME="1465836274"
SIZE="355786"
LIBRARY="opencores"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl"
STATE="utd"
TIME="1465836274"
SIZE="162783"
LIBRARY="opencores"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl"
STATE="utd"
TIME="1465836274"
SIZE="372520"
LIBRARY="opencores"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl"
STATE="utd"
TIME="1465836274"
SIZE="31632"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="675060"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="671446"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="13746"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="7350"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="10828"
LIBRARY="spw"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="22767"
LIBRARY="synplify"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl"
STATE="utd"
TIME="1465836275"
SIZE="9658"
LIBRARY="synplify"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="87370"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="68821"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="8877"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="2125"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="16342"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="9890"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="9771"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="3786"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="6060"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="8747"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="20766"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="47583"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="49928"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="2628"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="31552"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="11856"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="3276"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl"
STATE="utd"
TIME="1465836279"
SIZE="9429"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="1353"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3340"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3822"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="2467"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4047"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="55551"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="2410"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="2384"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5648"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="6227"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="41376"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4573"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3223"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3193"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4657"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="6902"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4453"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4511"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3363"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="24009"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3712"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="1902"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5158"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5228"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3280"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3131"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="3873"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="1713"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="7536"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="2394"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="1538"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5903"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="2741"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="10371"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5432"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="5817"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="6396"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="6369"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="7035"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4795"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="14689"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="8867"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="8198"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="1853"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="23512"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="12909"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="10293"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="4187"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="7410"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl"
STATE="utd"
TIME="1465836280"
SIZE="6512"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="1677"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="8345"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="1995"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="22086"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="9702"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="3247"
LIBRARY="techmap"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="3749"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="1426"
ENDFILE
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl"
STATE="utd"
TIME="1465836281"
SIZE="6370"
ENDFILE
VALUE "<project>\..\..\boards\DISCOSPACE\default.pdc,pdc"
STATE="utd"
TIME="1479997712"
SIZE="10350"
ENDFILE
VALUE "<project>\..\..\boards\DISCOSPACE\DISCOSPACE.sdc,sdc"
STATE="utd"
TIME="1480062337"
SIZE="6372"
ENDFILE
VALUE "<project>\DISCOSPACE_top.vhd,hdl"
STATE="utd"
TIME="1479997682"
SIZE="19589"
ENDFILE
ENDLIST
LIST UsedFile
ENDLIST
LIST NewModulesInfo
LIST "DISCOSPACE_top::work"
FILE "<project>\DISCOSPACE_top.vhd,hdl"
LIST ExcludePackageForSynthesis
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl"
ENDLIST
LIST UserCustomizedFileList
LIST "ideSYNTHESIS"
USE_LIST=TRUE
FILELIST
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl"
VALUE "<project>\DISCOSPACE_top.vhd,hdl"
ENDFILELIST
ENDLIST
LIST "ideSIMULATION"
USE_LIST=TRUE
FILELIST
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl"
VALUE "<project>\DISCOSPACE_top.vhd,hdl"
ENDFILELIST
ENDLIST
ENDLIST
ENDLIST
ENDLIST
LIST AssociatedStimulus
ENDLIST
LIST Other_Association
ENDLIST
LIST SimulationOptions
UseAutomaticDoFile=true
IncludeWaveDo=true
Type=max
RunTime=1000ns
Resolution=1ps
VsimOpt=
EntityName=testbench
TopInstanceName=<top>_0
DoFileName=
DoFileName2=wave.do
DoFileParams=
DisplayDUTWave=false
LogAllSignals=false
DumpVCD=false
VCDFileName=power.vcd
ENDLIST
LIST ModelSimLibPath
UseCustomPath=FALSE
LibraryPath=
ENDLIST
LIST GlobalFlowOptions
GenerateHDLAfterSynthesis=FALSE
GenerateHDLAfterPhySynthesis=FALSE
RunDRCAfterSynthesis=FALSE
AutoCheckConstraints=TRUE
UpdateViewDrawIni=TRUE
UpdateModelSimIni=TRUE
EnableFileDetection=FALSE
NoIOMode=FALSE
GenerateHDLFromSchematic=TRUE
FlashProInputFile=stp
SmartGenCompileReport=T
ENDLIST
LIST PhySynthesisOptions
ENDLIST
LIST Profiles
NAME="Synplify 2012-03A-SP1-2"
FUNCTION="Synthesis"
TOOL="Synplify"
LOCATION="C:\Synopsys\synplify_F201203ASP1-2\bin\synplify_pro.exe"
PARAM=""
BATCH=0
EndProfile
NAME="Questa"
FUNCTION="Simulation"
TOOL="ModelSim"
LOCATION="C:\questasim64_10.5c\win64\questasim.exe"
PARAM=""
BATCH=0
EndProfile
NAME="WFL"
FUNCTION="Stimulus"
TOOL="WFL"
LOCATION="syncad.exe"
PARAM="-pwflite"
BATCH=0
EndProfile
NAME="FlashPro"
FUNCTION="Program"
TOOL="FlashPro"
LOCATION="C:\Microsemi\Libero_v9.1\Designer\bin\FlashPro.exe"
PARAM=""
BATCH=0
EndProfile
ENDLIST
LIST ProjectState5.1
ENDLIST
LIST ExcludePackageForSimulation
ENDLIST
LIST ExcludePackageForSynthesis
LIST DISCOSPACE_top
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl"
ENDLIST
ENDLIST
LIST IncludeModuleForSimulation
ENDLIST
LIST CDBOrder
ENDLIST
LIST UserCustomizedFileList
LIST "DISCOSPACE_top"
LIST "ideSYNTHESIS"
USE_LIST=TRUE
FILELIST
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\synpe_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\synpe_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\synpe_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\synpe_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\synpe_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\synpe_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl"
VALUE "<project>\DISCOSPACE_top.vhd,hdl"
ENDFILELIST
ENDLIST
LIST "ideSIMULATION"
USE_LIST=TRUE
FILELIST
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\version.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config_types.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\config.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\stdio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\stdlib\testlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\ftlib\mtie_ftlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\util\util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\sparc_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\sparc\cpu_disas.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\multlib.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\modgen\leaves.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\defmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ahbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\apbmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\ambamon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\dma2ahb_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\amba\amba_tp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_util.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_slv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahbs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\grlib\atf\at_ahb_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synplify.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\synplify\sim\synattr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\gencomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\gencomp\netcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\memory_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\tap_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\mul_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddr_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\ddrphy_datapath.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\sim_pll.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\inferred\lpddr2_phy_inferred.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\buffer_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\clkgen_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\ddr_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\memory_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\pads_apa3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\proasic3e\tap_proasic3e.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allclkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allmul.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\allpads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\alltap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkinv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkand.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_ireg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddr_oreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ddrphy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_dp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncfifo_2p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\regfile_3p.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\tap.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techbuf.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\nandtree.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\clkpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iodpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\lvds_combo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\odpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ds.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\toutpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\skew_outpad.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mul_61x61.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\cpu_disas_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\ringosc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grpci2_phy_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\system_monitor.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\grgates.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\inpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\outpad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\iopad_ddr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram256bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram128.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram156bw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\techmult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\spictrl_net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncrambw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncram_2pbw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\sdram_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\from.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\syncreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\serdes.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\techmap\maps\mtie_maps.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\comp\spwcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\core\mtie_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw2_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\spw\wrapper\grspw_codec_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\comp\ethcomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_edcl_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\eth_ahb_mst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbit_gtx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_tx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_rx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\greth_gbitc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\core\grethc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\eth\wrapper\greth_gbit_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\cancomp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\opencores\can\can_top_core_sync.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\arith.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\mul32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\arith\div32.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\memctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\sdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\srctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ssrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrlc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsrctrl8.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftmctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\memctrl\ftsdctrl64.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpu\mtie_grlfpu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\grlfpc\mtie_grlfpc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuconfig.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmuiface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\libmmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlbcam.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulrue.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmulru.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutlb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmutw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\srmmu\mmu.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\leon3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3\grfpushwx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\leon3v3\mtie_leon3v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqmp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\irqmp\irqamp2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\l2cache\v2-pkg\l2cache.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_mc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\canmux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_rd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\can_oc_core.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\can\grcan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\misc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\rstgen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gptimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbdpram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mmb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpio.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ftahbram2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbstat.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\logan.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbps2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\charrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\apbvga.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb2ahb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbbridge.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\svgactrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grfifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gradcdac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grsysmon.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\gracectrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgpreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\memscrub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahb_mst_iface.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grgprbank.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grclkgate2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grtimer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grpulse.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\grversion.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\misc\ahbfrom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\ambatest\ahbtbm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\net\net.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\libdcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\apbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\dcom_uart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\uart\ahbuart.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sim.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sramft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\sram16.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ahbrep.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\delay_wire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\pwm_check.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ramback.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\zbtssram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\slavecheck.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtrace.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\spwtracev.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddrram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr2ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\sim\ddr3ram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\libjtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\ahbjtag_bsd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\bscanregsbd.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagcom2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\jtag\jtagtst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\ethernet_mac.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\greth_gbit_mb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\grethm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\rgmii.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\comma_detect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\greth\elastic_buffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\spacewire.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspwm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw2_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_codec_clockgate.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\spacewire\grspw_phy.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\gr1553b_pads.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\gr1553b\simtrans1553.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandpkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrlx.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\nand\nandfctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\clk2x.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gaisler\clk2x\qmod_prect.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\memoryctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\esa\memoryctrl\mctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\conversions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\utilities\gen_utils.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\flash.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\s25fl064a.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\flash\m25p80.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\fmf\fifo\idt7202.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\functions.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\core_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\gsi\ssram\g880e18bt.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\apb_devices\apb_devices.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\memctrlr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-0ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB_NONFREE\lib\iap\.\memctrlr\srctrle-1ws.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\data_type_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_purpose.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ADDRcntr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ALU.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Adder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_Divider2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clk_divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MAC_REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUX2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\MUXN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Multiplier.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\REG.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_FF.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Shifter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TwoComplementer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\Clock_Divider.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_to_level.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\lpp_front_detection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\SYNC_VALID_BIT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\RR_Arbiter_4.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\general_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\ramp_generator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\general_purpose\TimeGenAdvancedTrigger.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\apb_devices_list.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\lpp_amba.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_amba\APB_ADVANCED_TRIGGER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\chirp\chirp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\iir_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\RAM_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_CONTROL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3_DATAFLOW.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR_v3.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_integrator.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_downsampler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_comb.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_add_sub.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_address_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\cic\cic_lfr_control_r2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_downsampling\Downsampling.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\window_function.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_processing.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\window_function\WF_rom.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_memory.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lpp_FIFO_4_Shared_headreg_latency_1.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_memory\lppFIFOxN.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fft_components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\lpp_fft.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actar.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\actram.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\CoreFFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftDp.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\fftSm.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\primitives.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\twiddle.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Driver_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\dsp\lpp_fft\Linker_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lpp_cna.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\APB_LFR_CAL.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_READER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\RAM_WRITER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\SPI_DAC_DRIVER.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\dynamic_freq_div.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_cna\lfr_cal_driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lpp_lfr_management_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\apb_lfr_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\lfr_time_management.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\coarse_time_counter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lfr_management\fine_time_max_value_gen.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_RHF1401_withFilter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\TestModule_RHF1401.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\top_ad_conv_ADS7886_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr_v2.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_ad_Conv\lpp_lfr_hk.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_package.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_calculation.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\MS_control.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_switch_f0.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_spectral_matrix\spectral_matrix_time_managment.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\DEMUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_demux\lpp_demux.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\lpp_Header.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_Header\HeaderBuilder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\lpp_matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ALU_Driver.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\ReUse_CTRLR.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Dispatch.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\DriveInputs.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\GetResult.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\MatriceSpectrale.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\Matrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\SpectralMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_matrix\TopSpecMatrix.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\fifo_latency_correction.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_ip.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_16word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_send_1word.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_GestionBuffer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_Arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\DMA_SubSystem_MUX.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_dma\lpp_dma_SEND16B_FIFO2DMA.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_burst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_withoutLatency.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_latencyCorrection.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_ctrl.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_headreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_snapshot_controler.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_genaddress.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_dma_genvalid.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fifo_arbiter_reg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_waveform\lpp_waveform_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_top_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter_coeff.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_filter.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_apbreg_ms_pointer.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_fsmdma.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_FFT.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr_ms_reg_head.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_top_lfr\lpp_lfr.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\lpp_leon3_soc_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_leon3_soc\leon3_soc.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_lfr_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_debug_lfr\lpp_debug_dma_singleOrBurst.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_reader.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\sig_recorder.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_sim\lpp_lfr_sim_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\..\..\VHDLIB\lib\lpp\.\lpp_file\reader_pkg.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\components.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\package_utility.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1354b.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\cypress\ssram\cy7c1380d.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\debug.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\grtestmod.vhd,hdl"
VALUE "<project>\..\..\..\GRLIB\lib\work\debug\cpu_disas.vhd,hdl"
VALUE "<project>\DISCOSPACE_top.vhd,hdl"
ENDFILELIST
ENDLIST
ENDLIST
ENDLIST
LIST OpenedFileList
ENDLIST