##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r439:051c08efe9e3 JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsyn.txt
15 lines | 426 B | text/plain | TextLexer
lpp_waveform_pkg.vhd
lpp_waveform.vhd
lpp_waveform_burst.vhd
lpp_waveform_fifo_withoutLatency.vhd
lpp_waveform_fifo_latencyCorrection.vhd
lpp_waveform_fifo.vhd
lpp_waveform_fifo_arbiter.vhd
lpp_waveform_fifo_ctrl.vhd
lpp_waveform_fifo_headreg.vhd
lpp_waveform_snapshot.vhd
lpp_waveform_snapshot_controler.vhd
lpp_waveform_genaddress.vhd
lpp_waveform_dma_genvalid.vhd
lpp_waveform_fifo_arbiter_reg.vhd
lpp_waveform_fsmdma.vhd