##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r363:453f650415b6 JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsyn.txt
5 lines | 127 B | text/plain | TextLexer
spectral_matrix_package.vhd
MS_calculation.vhd
MS_control.vhd
spectral_matrix_switch_f0.vhd
spectral_matrix_time_managment.vhd