##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r467:10ba15a05d8c (LFR-EM) WFP_MS-1-1-35 JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsim.txt
3 lines | 37 B | text/plain | TextLexer
lpp_sim_pkg.vhd
lpp_lfr_sim_pkg.vhd