##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r418:50dbbc47831e JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsim.txt
1 line | 18 B | text/plain | TextLexer
CoreFFT_simu.vhd