##// END OF EJS Templates
LFR-EQM 2.1.81...
LFR-EQM 2.1.81 > all is ok, the ADC data are sampled at 500M.sample.Hz

File last commit:

r520:4ecb2a443559 JC
r598:a4da461dd67d simu_with_Leon3
Show More
vhdlsyn.txt
10 lines | 197 B | text/plain | TextLexer
iir_filter.vhd
FILTERcfg.vhd
RAM.vhd
RAM_CEL.vhd
RAM_CTRLR_v2.vhd
IIR_CEL_CTRLR_v2_CONTROL.vhd
IIR_CEL_CTRLR_v2_DATAFLOW.vhd
IIR_CEL_CTRLR_v2.vhd
IIR_CEL_CTRLR_v3_DATAFLOW.vhd
IIR_CEL_CTRLR_v3.vhd