##// END OF EJS Templates
Fusion
Fusion

File last commit:

r193:16c5d6a814b5 JC
r636:a3dd504c9783 merge simu_with_Leon3
Show More
em-LeonLPP-A3PE3kL_testData29.pdc
8 lines | 349 B | text/plain | TextLexer
/ boards / em-LeonLPP-A3PE3kL-v2 / em-LeonLPP-A3PE3kL_testData29.pdc
set_io clk50MHz -pinname B3 -fixed yes -DIRECTION Inout
set_io data_29 -pinname J18 -fixed yes -DIRECTION Inout
set_io {led[0]} -pinname K17 -fixed yes -DIRECTION Inout
set_io {led[1]} -pinname L18 -fixed yes -DIRECTION Inout
set_io {led[2]} -pinname M17 -fixed yes -DIRECTION Inout
set_io Reset -pinname N18 -fixed yes -DIRECTION Inout