##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r611:ec07182522e1 simu_with_Leon3
r681:9d85f9f8f05a default
Show More
vhdlsyn.txt
8 lines | 207 B | text/plain | TextLexer
lpp_lfr_management.vhd
lpp_lfr_management_apbreg_pkg.vhd
apb_lfr_management.vhd
apb_lfr_management_nocal.vhd
lfr_time_management.vhd
fine_time_counter.vhd
coarse_time_counter.vhd
fine_time_max_value_gen.vhd