##// END OF EJS Templates
MiniSpartan6:...
MiniSpartan6: added ftdi chip config to switch between UART and Async FIFO. added few WIP designs with either spwlight core, FIFO_deom IP... Libs: added SpaceWire Light IP (Works really well!) started design of ahb_ftdi_fifo -> same protocol than AHBUART but over FTDI's Async FIFO interface. This might lead to much faster transfers UP to 12MB/s.

File last commit:

r639:5ffe6bd0368c default
r681:9d85f9f8f05a default
Show More
systest.c
18 lines | 307 B | text/x-c | CLexer
main()
{
report_start();
// svgactrl_test(0x80000600, 1, 0, 0x40200000, -1, 0, 0);
base_test();
/*
greth_test(0x80000e00);
spw_test(0x80100A00);
spw_test(0x80100B00);
spw_test(0x80100C00);
svgactrl_test(0x80000600, 1, 0, 0x40200000, -1, 0, 0);
*/
report_end();
}